From 002a2a8179c362a87f5f11eff42cc6d84d70a5be Mon Sep 17 00:00:00 2001 From: smckown Date: Tue, 9 Sep 2008 14:32:48 +0000 Subject: [PATCH] Move the clock components back into msp430/timer. It appears that supporting the new basic clock module+ is so straightforward we don't need to separate it out. Moving things back reduces change to the main tree, making it more likely for the changes to be accepted. --- tos/chips/msp430/{clock => timer}/Msp430ClockC.nc | 0 tos/chips/msp430/{clock => timer}/Msp430ClockInit.nc | 0 tos/chips/msp430/{clock => timer}/Msp430ClockP.nc | 0 tos/chips/msp430/{clock => timer}/Msp430DcoCalibC.nc | 0 tos/chips/msp430/{clock => timer}/Msp430DcoCalibP.nc | 0 tos/platforms/eyesIFX/.family | 1 - tos/platforms/shimmer/.platform | 1 - tos/platforms/telosa/.platform | 1 - tos/platforms/telosb/.platform | 1 - tos/platforms/tinynode/.platform | 1 - tos/platforms/tmicore/.platform | 1 - 11 files changed, 6 deletions(-) rename tos/chips/msp430/{clock => timer}/Msp430ClockC.nc (100%) rename tos/chips/msp430/{clock => timer}/Msp430ClockInit.nc (100%) rename tos/chips/msp430/{clock => timer}/Msp430ClockP.nc (100%) rename tos/chips/msp430/{clock => timer}/Msp430DcoCalibC.nc (100%) rename tos/chips/msp430/{clock => timer}/Msp430DcoCalibP.nc (100%) diff --git a/tos/chips/msp430/clock/Msp430ClockC.nc b/tos/chips/msp430/timer/Msp430ClockC.nc similarity index 100% rename from tos/chips/msp430/clock/Msp430ClockC.nc rename to tos/chips/msp430/timer/Msp430ClockC.nc diff --git a/tos/chips/msp430/clock/Msp430ClockInit.nc b/tos/chips/msp430/timer/Msp430ClockInit.nc similarity index 100% rename from tos/chips/msp430/clock/Msp430ClockInit.nc rename to tos/chips/msp430/timer/Msp430ClockInit.nc diff --git a/tos/chips/msp430/clock/Msp430ClockP.nc b/tos/chips/msp430/timer/Msp430ClockP.nc similarity index 100% rename from tos/chips/msp430/clock/Msp430ClockP.nc rename to tos/chips/msp430/timer/Msp430ClockP.nc diff --git a/tos/chips/msp430/clock/Msp430DcoCalibC.nc b/tos/chips/msp430/timer/Msp430DcoCalibC.nc similarity index 100% rename from tos/chips/msp430/clock/Msp430DcoCalibC.nc rename to tos/chips/msp430/timer/Msp430DcoCalibC.nc diff --git a/tos/chips/msp430/clock/Msp430DcoCalibP.nc b/tos/chips/msp430/timer/Msp430DcoCalibP.nc similarity index 100% rename from tos/chips/msp430/clock/Msp430DcoCalibP.nc rename to tos/chips/msp430/timer/Msp430DcoCalibP.nc diff --git a/tos/platforms/eyesIFX/.family b/tos/platforms/eyesIFX/.family index f40d14fe..fa237c92 100644 --- a/tos/platforms/eyesIFX/.family +++ b/tos/platforms/eyesIFX/.family @@ -17,7 +17,6 @@ push( @includes, qw( %T/chips/ad5200 %T/chips/msp430 %T/chips/msp430/adc12 - %T/chips/msp430/clock %T/chips/msp430/dma %T/chips/msp430/pins %T/chips/msp430/sensors diff --git a/tos/platforms/shimmer/.platform b/tos/platforms/shimmer/.platform index 310bbb72..ecaad4be 100644 --- a/tos/platforms/shimmer/.platform +++ b/tos/platforms/shimmer/.platform @@ -27,7 +27,6 @@ push( @includes, qw( %T/chips/cc2420/unique %T/chips/msp430 %T/chips/msp430/adc12 - %T/chips/msp430/clock %T/chips/msp430/dma %T/chips/msp430/pins %T/chips/msp430/timer diff --git a/tos/platforms/telosa/.platform b/tos/platforms/telosa/.platform index 00f9de94..2f273950 100644 --- a/tos/platforms/telosa/.platform +++ b/tos/platforms/telosa/.platform @@ -27,7 +27,6 @@ push( @includes, qw( %T/chips/at45db %T/chips/msp430 %T/chips/msp430/adc12 - %T/chips/msp430/clock %T/chips/msp430/pins %T/chips/msp430/timer %T/chips/msp430/usart diff --git a/tos/platforms/telosb/.platform b/tos/platforms/telosb/.platform index 816de3fd..5fab8f26 100644 --- a/tos/platforms/telosb/.platform +++ b/tos/platforms/telosb/.platform @@ -26,7 +26,6 @@ push( @includes, qw( %T/chips/cc2420/transmit %T/chips/cc2420/unique %T/chips/msp430 - %T/chips/msp430/clock %T/chips/msp430/adc12 %T/chips/msp430/dma %T/chips/msp430/pins diff --git a/tos/platforms/tinynode/.platform b/tos/platforms/tinynode/.platform index a6050ac4..818c7a83 100644 --- a/tos/platforms/tinynode/.platform +++ b/tos/platforms/tinynode/.platform @@ -19,7 +19,6 @@ push( @includes, qw( %T/chips/msp430 %T/chips/msp430/adc12 - %T/chips/msp430/clock %T/chips/msp430/dma %T/chips/msp430/pins %T/chips/msp430/timer diff --git a/tos/platforms/tmicore/.platform b/tos/platforms/tmicore/.platform index 3cd16861..3cd5e01a 100755 --- a/tos/platforms/tmicore/.platform +++ b/tos/platforms/tmicore/.platform @@ -9,7 +9,6 @@ push( @includes, qw( %T/chips/msp430 %T/chips/msp430/adc12 %T/chips/msp430/clock2 - %T/chips/msp430/clock %T/chips/msp430/dma %T/chips/msp430/pins %T/chips/msp430/timer -- 2.39.2