X-Git-Url: https://oss.titaniummirror.com/gitweb?p=msp430-binutils.git;a=blobdiff_plain;f=opcodes%2Fbfin-dis.c;fp=opcodes%2Fbfin-dis.c;h=d526a1a61cb8bb93496522f1381d842b493cad4a;hp=dad85b1f8967cd5366ef54b669fc62e7123237f5;hb=88750007d7869f178f0ba528f41efd3b74c424cf;hpb=6df9443a374e2b81278c61b8afc0a1eef7db280b diff --git a/opcodes/bfin-dis.c b/opcodes/bfin-dis.c index dad85b1..d526a1a 100644 --- a/opcodes/bfin-dis.c +++ b/opcodes/bfin-dis.c @@ -1,5 +1,5 @@ /* Disassemble ADI Blackfin Instructions. - Copyright 2005, 2007 Free Software Foundation, Inc. + Copyright 2005, 2006, 2007, 2008, 2009 Free Software Foundation, Inc. This file is part of libopcodes. @@ -51,13 +51,15 @@ typedef long TIword; #include "dis-asm.h" +typedef unsigned int bu32; + typedef enum { c_0, c_1, c_4, c_2, c_uimm2, c_uimm3, c_imm3, c_pcrel4, - c_imm4, c_uimm4s4, c_uimm4, c_uimm4s2, c_negimm5s4, c_imm5, c_uimm5, c_imm6, - c_imm7, c_imm8, c_uimm8, c_pcrel8, c_uimm8s4, c_pcrel8s4, c_lppcrel10, c_pcrel10, - c_pcrel12, c_imm16s4, c_luimm16, c_imm16, c_huimm16, c_rimm16, c_imm16s2, c_uimm16s4, - c_uimm16, c_pcrel24, + c_imm4, c_uimm4s4, c_uimm4s4d, c_uimm4, c_uimm4s2, c_negimm5s4, c_imm5, c_imm5d, c_uimm5, c_imm6, + c_imm7, c_imm7d, c_imm8, c_uimm8, c_pcrel8, c_uimm8s4, c_pcrel8s4, c_lppcrel10, c_pcrel10, + c_pcrel12, c_imm16s4, c_luimm16, c_imm16, c_imm16d, c_huimm16, c_rimm16, c_imm16s2, c_uimm16s4, + c_uimm16s4d, c_uimm16, c_pcrel24, c_uimm32, c_imm32, c_huimm32, c_huimm32e, } const_forms_t; static struct @@ -71,46 +73,58 @@ static struct char offset; char negative; char positive; + char decimal; + char leading; + char exact; } constant_formats[] = { - { "0", 0, 0, 1, 0, 0, 0, 0, 0}, - { "1", 0, 0, 1, 0, 0, 0, 0, 0}, - { "4", 0, 0, 1, 0, 0, 0, 0, 0}, - { "2", 0, 0, 1, 0, 0, 0, 0, 0}, - { "uimm2", 2, 0, 0, 0, 0, 0, 0, 0}, - { "uimm3", 3, 0, 0, 0, 0, 0, 0, 0}, - { "imm3", 3, 0, 1, 0, 0, 0, 0, 0}, - { "pcrel4", 4, 1, 0, 1, 1, 0, 0, 0}, - { "imm4", 4, 0, 1, 0, 0, 0, 0, 0}, - { "uimm4s4", 4, 0, 0, 0, 2, 0, 0, 1}, - { "uimm4", 4, 0, 0, 0, 0, 0, 0, 0}, - { "uimm4s2", 4, 0, 0, 0, 1, 0, 0, 1}, - { "negimm5s4", 5, 0, 1, 0, 2, 0, 1, 0}, - { "imm5", 5, 0, 1, 0, 0, 0, 0, 0}, - { "uimm5", 5, 0, 0, 0, 0, 0, 0, 0}, - { "imm6", 6, 0, 1, 0, 0, 0, 0, 0}, - { "imm7", 7, 0, 1, 0, 0, 0, 0, 0}, - { "imm8", 8, 0, 1, 0, 0, 0, 0, 0}, - { "uimm8", 8, 0, 0, 0, 0, 0, 0, 0}, - { "pcrel8", 8, 1, 0, 1, 1, 0, 0, 0}, - { "uimm8s4", 8, 0, 0, 0, 2, 0, 0, 0}, - { "pcrel8s4", 8, 1, 1, 1, 2, 0, 0, 0}, - { "lppcrel10", 10, 1, 0, 1, 1, 0, 0, 0}, - { "pcrel10", 10, 1, 1, 1, 1, 0, 0, 0}, - { "pcrel12", 12, 1, 1, 1, 1, 0, 0, 0}, - { "imm16s4", 16, 0, 1, 0, 2, 0, 0, 0}, - { "luimm16", 16, 1, 0, 0, 0, 0, 0, 0}, - { "imm16", 16, 0, 1, 0, 0, 0, 0, 0}, - { "huimm16", 16, 1, 0, 0, 0, 0, 0, 0}, - { "rimm16", 16, 1, 1, 0, 0, 0, 0, 0}, - { "imm16s2", 16, 0, 1, 0, 1, 0, 0, 0}, - { "uimm16s4", 16, 0, 0, 0, 2, 0, 0, 0}, - { "uimm16", 16, 0, 0, 0, 0, 0, 0, 0}, - { "pcrel24", 24, 1, 1, 1, 1, 0, 0, 0} + { "0", 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "1", 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "4", 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "2", 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "uimm2", 2, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0}, + { "uimm3", 3, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0}, + { "imm3", 3, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "pcrel4", 4, 1, 0, 1, 1, 0, 0, 0, 0, 0, 0}, + { "imm4", 4, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "uimm4s4", 4, 0, 0, 0, 2, 0, 0, 1, 0, 0, 0}, + { "uimm4s4d", 4, 0, 0, 0, 2, 0, 0, 1, 1, 0, 0}, + { "uimm4", 4, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0}, + { "uimm4s2", 4, 0, 0, 0, 1, 0, 0, 1, 0, 0, 0}, + { "negimm5s4", 5, 0, 1, 0, 2, 0, 1, 0, 0, 0, 0}, + { "imm5", 5, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "imm5d", 5, 0, 1, 0, 0, 0, 0, 0, 1, 0, 0}, + { "uimm5", 5, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0}, + { "imm6", 6, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "imm7", 7, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "imm7d", 7, 0, 1, 0, 0, 0, 0, 0, 1, 3, 0}, + { "imm8", 8, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "uimm8", 8, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0}, + { "pcrel8", 8, 1, 0, 1, 1, 0, 0, 0, 0, 0, 0}, + { "uimm8s4", 8, 0, 0, 0, 2, 0, 0, 0, 0, 0, 0}, + { "pcrel8s4", 8, 1, 1, 1, 2, 0, 0, 0, 0, 0, 0}, + { "lppcrel10", 10, 1, 0, 1, 1, 0, 0, 0, 0, 0, 0}, + { "pcrel10", 10, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0}, + { "pcrel12", 12, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0}, + { "imm16s4", 16, 0, 1, 0, 2, 0, 0, 0, 0, 0, 0}, + { "luimm16", 16, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0}, + { "imm16", 16, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "imm16d", 16, 0, 1, 0, 0, 0, 0, 0, 1, 3, 0}, + { "huimm16", 16, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0}, + { "rimm16", 16, 1, 1, 0, 0, 0, 0, 0, 0, 0, 0}, + { "imm16s2", 16, 0, 1, 0, 1, 0, 0, 0, 0, 0, 0}, + { "uimm16s4", 16, 0, 0, 0, 2, 0, 0, 0, 0, 0, 0}, + { "uimm16s4d", 16, 0, 0, 0, 2, 0, 0, 0, 1, 0, 0}, + { "uimm16", 16, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0}, + { "pcrel24", 24, 1, 1, 1, 1, 0, 0, 0, 0, 0, 0}, + { "uimm32", 32, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0}, + { "imm32", 32, 0, 1, 0, 0, 0, 0, 0, 1, 3, 0}, + { "huimm32", 32, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0}, + { "huimm32e", 32, 1, 0, 0, 0, 0, 0, 0, 0, 0, 1}, }; -int _print_insn_bfin (bfd_vma pc, disassemble_info * outf); -int print_insn_bfin (bfd_vma pc, disassemble_info * outf); +static char comment = 0; +static char parallel = 0; static char * fmtconst (const_forms_t cf, TIword x, bfd_vma pc, disassemble_info * outf) @@ -124,8 +138,16 @@ fmtconst (const_forms_t cf, TIword x, bfd_vma pc, disassemble_info * outf) if (constant_formats[cf].pcrel) ea += pc; - outf->print_address_func (ea, outf); - return ""; + if (outf->symbol_at_address_func (ea, outf) || !constant_formats[cf].exact) + { + outf->print_address_func (ea, outf); + return ""; + } + else + { + sprintf (buf, "%lx", (unsigned long) x); + return buf; + } } /* Negative constants have an implied sign bit. */ @@ -145,14 +167,59 @@ fmtconst (const_forms_t cf, TIword x, bfd_vma pc, disassemble_info * outf) if (constant_formats[cf].scale) x <<= constant_formats[cf].scale; - if (constant_formats[cf].issigned && x < 0) - sprintf (buf, "%ld", x); + if (constant_formats[cf].decimal) + { + if (constant_formats[cf].leading) + { + char ps[10]; + sprintf (ps, "%%%ii", constant_formats[cf].leading); + sprintf (buf, ps, x); + } + else + sprintf (buf, "%li", x); + } else - sprintf (buf, "0x%lx", x); + { + if (constant_formats[cf].issigned && x < 0) + sprintf (buf, "-0x%x", abs (x)); + else + sprintf (buf, "0x%lx", (unsigned long) x); + } return buf; } +static bu32 +fmtconst_val (const_forms_t cf, unsigned int x, unsigned int pc) +{ + if (0 && constant_formats[cf].reloc) + { + bu32 ea = (((constant_formats[cf].pcrel + ? SIGNEXTEND (x, constant_formats[cf].nbits) + : x) + constant_formats[cf].offset) + << constant_formats[cf].scale); + if (constant_formats[cf].pcrel) + ea += pc; + + return ea; + } + + /* Negative constants have an implied sign bit. */ + if (constant_formats[cf].negative) + { + int nb = constant_formats[cf].nbits + 1; + x = x | (1 << constant_formats[cf].nbits); + x = SIGNEXTEND (x, nb); + } + else if (constant_formats[cf].issigned) + x = SIGNEXTEND (x, constant_formats[cf].nbits); + + x += constant_formats[cf].offset; + x <<= constant_formats[cf].scale; + + return x; +} + enum machine_registers { REG_RL0, REG_RL1, REG_RL2, REG_RL3, REG_RL4, REG_RL5, REG_RL6, REG_RL7, @@ -193,7 +260,7 @@ static char *reg_names[] = "R0.H", "R1.H", "R2.H", "R3.H", "R4.H", "R5.H", "R6.H", "R7.H", "R0", "R1", "R2", "R3", "R4", "R5", "R6", "R7", "R1:0", "R3:2", "R5:4", "R7:6", "P0", "P1", "P2", "P3", - "P4", "P5", "SP", "FP", "A0.x", "A1.x", "A0.w", "A1.w", + "P4", "P5", "SP", "FP", "A0.X", "A1.X", "A0.W", "A1.W", "A0", "A1", "I0", "I1", "I2", "I3", "M0", "M1", "M2", "M3", "B0", "B1", "B2", "B3", "L0", "L1", "L2", "L3", @@ -247,7 +314,6 @@ static enum machine_registers decode_dregs_byte[] = }; #define dregs_byte(x) REGNAME (decode_dregs_byte[(x) & 7]) -#define dregs_pair(x) REGNAME (decode_dregs_pair[(x) & 7]) /* P(0..5) SP FP. */ static enum machine_registers decode_pregs[] = @@ -338,9 +404,7 @@ static enum machine_registers decode_statbits[] = REG_V, REG_VS, REG_LASTREG, REG_LASTREG, REG_LASTREG, REG_LASTREG, REG_LASTREG, REG_LASTREG, }; -#define statbits(x) REGNAME (decode_statbits[(x) & 31]) -#define ignore_bits(x) REGNAME (decode_ignore_bits[(x) & 7]) -#define ccstat(x) REGNAME (decode_ccstat[(x) & 0]) +#define statbits(x) REGNAME (decode_statbits[(x) & 31]) /* LC0 LC1. */ static enum machine_registers decode_counters[] = @@ -362,11 +426,21 @@ static enum machine_registers decode_allregs[] = REG_A0x, REG_A0w, REG_A1x, REG_A1w, REG_GP, REG_LASTREG, REG_ASTAT, REG_RETS, REG_LASTREG, REG_LASTREG, REG_LASTREG, REG_LASTREG, REG_LASTREG, REG_LASTREG, REG_LASTREG, REG_LASTREG, REG_LC0, REG_LT0, REG_LB0, REG_LC1, REG_LT1, REG_LB1, REG_CYCLES, REG_CYCLES2, - REG_USP, REG_SEQSTAT, REG_SYSCFG, REG_RETI, REG_RETX, REG_RETN, REG_RETE, REG_EMUDAT, REG_LASTREG, + REG_USP, REG_SEQSTAT, REG_SYSCFG, REG_RETI, REG_RETX, REG_RETN, REG_RETE, REG_EMUDAT, + REG_LASTREG, }; +#define IS_DREG(g,r) ((g) == 0) +#define IS_PREG(g,r) ((g) == 1) +#define IS_AREG(g,r) ((g) == 4 && (r) >= 0 && (r) < 4) +#define IS_GENREG(g,r) ((g) == 0 || (g) == 1 || IS_AREG (g, r)) +#define IS_DAGREG(g,r) ((g) == 2 || (g) == 3) +#define IS_SYSREG(g,r) \ + (((g) == 4 && ((r) == 6 || (r) == 7)) || (g) == 6 || (g) == 7) + #define allregs(x,i) REGNAME (decode_allregs[((i) << 3) | x]) #define uimm16s4(x) fmtconst (c_uimm16s4, x, 0, outf) +#define uimm16s4d(x) fmtconst (c_uimm16s4d, x, 0, outf) #define pcrel4(x) fmtconst (c_pcrel4, x, pc, outf) #define pcrel8(x) fmtconst (c_pcrel8, x, pc, outf) #define pcrel8s4(x) fmtconst (c_pcrel8s4, x, pc, outf) @@ -376,6 +450,7 @@ static enum machine_registers decode_allregs[] = #define rimm16(x) fmtconst (c_rimm16, x, 0, outf) #define huimm16(x) fmtconst (c_huimm16, x, 0, outf) #define imm16(x) fmtconst (c_imm16, x, 0, outf) +#define imm16d(x) fmtconst (c_imm16d, x, 0, outf) #define uimm2(x) fmtconst (c_uimm2, x, 0, outf) #define uimm3(x) fmtconst (c_uimm3, x, 0, outf) #define luimm16(x) fmtconst (c_luimm16, x, 0, outf) @@ -386,67 +461,77 @@ static enum machine_registers decode_allregs[] = #define imm16s4(x) fmtconst (c_imm16s4, x, 0, outf) #define uimm4s2(x) fmtconst (c_uimm4s2, x, 0, outf) #define uimm4s4(x) fmtconst (c_uimm4s4, x, 0, outf) +#define uimm4s4d(x) fmtconst (c_uimm4s4d, x, 0, outf) #define lppcrel10(x) fmtconst (c_lppcrel10, x, pc, outf) #define imm3(x) fmtconst (c_imm3, x, 0, outf) #define imm4(x) fmtconst (c_imm4, x, 0, outf) #define uimm8s4(x) fmtconst (c_uimm8s4, x, 0, outf) #define imm5(x) fmtconst (c_imm5, x, 0, outf) +#define imm5d(x) fmtconst (c_imm5d, x, 0, outf) #define imm6(x) fmtconst (c_imm6, x, 0, outf) #define imm7(x) fmtconst (c_imm7, x, 0, outf) +#define imm7d(x) fmtconst (c_imm7d, x, 0, outf) #define imm8(x) fmtconst (c_imm8, x, 0, outf) #define pcrel24(x) fmtconst (c_pcrel24, x, pc, outf) #define uimm16(x) fmtconst (c_uimm16, x, 0, outf) +#define uimm32(x) fmtconst (c_uimm32, x, 0, outf) +#define imm32(x) fmtconst (c_imm32, x, 0, outf) +#define huimm32(x) fmtconst (c_huimm32, x, 0, outf) +#define huimm32e(x) fmtconst (c_huimm32e, x, 0, outf) +#define imm7_val(x) fmtconst_val (c_imm7, x, 0) +#define imm16_val(x) fmtconst_val (c_uimm16, x, 0) +#define luimm16_val(x) fmtconst_val (c_luimm16, x, 0) /* (arch.pm)arch_disassembler_functions. */ #ifndef OUTS -#define OUTS(p, txt) ((p) ? (((txt)[0]) ? (p->fprintf_func)(p->stream, txt) :0) :0) +#define OUTS(p, txt) ((p) ? (((txt)[0]) ? (p->fprintf_func)(p->stream, "%s", txt) :0) :0) #endif static void amod0 (int s0, int x0, disassemble_info *outf) { if (s0 == 1 && x0 == 0) - OUTS (outf, "(S)"); + OUTS (outf, " (S)"); else if (s0 == 0 && x0 == 1) - OUTS (outf, "(CO)"); + OUTS (outf, " (CO)"); else if (s0 == 1 && x0 == 1) - OUTS (outf, "(SCO)"); + OUTS (outf, " (SCO)"); } static void amod1 (int s0, int x0, disassemble_info *outf) { if (s0 == 0 && x0 == 0) - OUTS (outf, "(NS)"); + OUTS (outf, " (NS)"); else if (s0 == 1 && x0 == 0) - OUTS (outf, "(S)"); + OUTS (outf, " (S)"); } static void amod0amod2 (int s0, int x0, int aop0, disassemble_info *outf) { if (s0 == 1 && x0 == 0 && aop0 == 0) - OUTS (outf, "(S)"); + OUTS (outf, " (S)"); else if (s0 == 0 && x0 == 1 && aop0 == 0) - OUTS (outf, "(CO)"); + OUTS (outf, " (CO)"); else if (s0 == 1 && x0 == 1 && aop0 == 0) - OUTS (outf, "(SCO)"); + OUTS (outf, " (SCO)"); else if (s0 == 0 && x0 == 0 && aop0 == 2) - OUTS (outf, "(ASR)"); + OUTS (outf, " (ASR)"); else if (s0 == 1 && x0 == 0 && aop0 == 2) - OUTS (outf, "(S,ASR)"); + OUTS (outf, " (S, ASR)"); else if (s0 == 0 && x0 == 1 && aop0 == 2) - OUTS (outf, "(CO,ASR)"); + OUTS (outf, " (CO, ASR)"); else if (s0 == 1 && x0 == 1 && aop0 == 2) - OUTS (outf, "(SCO,ASR)"); + OUTS (outf, " (SCO, ASR)"); else if (s0 == 0 && x0 == 0 && aop0 == 3) - OUTS (outf, "(ASL)"); + OUTS (outf, " (ASL)"); else if (s0 == 1 && x0 == 0 && aop0 == 3) - OUTS (outf, "(S,ASL)"); + OUTS (outf, " (S, ASL)"); else if (s0 == 0 && x0 == 1 && aop0 == 3) - OUTS (outf, "(CO,ASL)"); + OUTS (outf, " (CO, ASL)"); else if (s0 == 1 && x0 == 1 && aop0 == 3) - OUTS (outf, "(SCO,ASL)"); + OUTS (outf, " (SCO, ASL)"); } static void @@ -466,7 +551,7 @@ static void aligndir (int r0, disassemble_info *outf) { if (r0 == 1) - OUTS (outf, "(R)"); + OUTS (outf, " (R)"); } static int @@ -497,9 +582,9 @@ decode_macfunc (int which, int op, int h0, int h1, int src0, int src1, disassemb char *sop = ""; if (which) - a = "a1"; + a = "A1"; else - a = "a0"; + a = "A0"; if (op == 3) { @@ -509,16 +594,14 @@ decode_macfunc (int which, int op, int h0, int h1, int src0, int src1, disassemb switch (op) { - case 0: sop = "="; break; - case 1: sop = "+="; break; - case 2: sop = "-="; break; + case 0: sop = " = "; break; + case 1: sop = " += "; break; + case 2: sop = " -= "; break; default: break; } OUTS (outf, a); - OUTS (outf, " "); OUTS (outf, sop); - OUTS (outf, " "); decode_multfunc (h0, h1, src0, src1, outf); return 0; @@ -565,6 +648,95 @@ decode_optmode (int mod, int MM, disassemble_info *outf) OUTS (outf, ")"); } +struct saved_state +{ + bu32 dpregs[16], iregs[4], mregs[4], bregs[4], lregs[4]; + bu32 a0x, a0w, a1x, a1w; + bu32 lt[2], lc[2], lb[2]; + int ac0, ac0_copy, ac1, an, aq; + int av0, av0s, av1, av1s, az, cc, v, v_copy, vs; + int rnd_mod; + int v_internal; + bu32 pc, rets; + + int ticks; + int insts; + + int exception; + + int end_of_registers; + + int msize; + unsigned char *memory; + unsigned long bfd_mach; +} saved_state; + +#define DREG(x) (saved_state.dpregs[x]) +#define GREG(x,i) DPREG ((x) | (i << 3)) +#define DPREG(x) (saved_state.dpregs[x]) +#define DREG(x) (saved_state.dpregs[x]) +#define PREG(x) (saved_state.dpregs[x + 8]) +#define SPREG PREG (6) +#define FPREG PREG (7) +#define IREG(x) (saved_state.iregs[x]) +#define MREG(x) (saved_state.mregs[x]) +#define BREG(x) (saved_state.bregs[x]) +#define LREG(x) (saved_state.lregs[x]) +#define A0XREG (saved_state.a0x) +#define A0WREG (saved_state.a0w) +#define A1XREG (saved_state.a1x) +#define A1WREG (saved_state.a1w) +#define CCREG (saved_state.cc) +#define LC0REG (saved_state.lc[0]) +#define LT0REG (saved_state.lt[0]) +#define LB0REG (saved_state.lb[0]) +#define LC1REG (saved_state.lc[1]) +#define LT1REG (saved_state.lt[1]) +#define LB1REG (saved_state.lb[1]) +#define RETSREG (saved_state.rets) +#define PCREG (saved_state.pc) + +static bu32 * +get_allreg (int grp, int reg) +{ + int fullreg = (grp << 3) | reg; + /* REG_R0, REG_R1, REG_R2, REG_R3, REG_R4, REG_R5, REG_R6, REG_R7, + REG_P0, REG_P1, REG_P2, REG_P3, REG_P4, REG_P5, REG_SP, REG_FP, + REG_I0, REG_I1, REG_I2, REG_I3, REG_M0, REG_M1, REG_M2, REG_M3, + REG_B0, REG_B1, REG_B2, REG_B3, REG_L0, REG_L1, REG_L2, REG_L3, + REG_A0x, REG_A0w, REG_A1x, REG_A1w, , , REG_ASTAT, REG_RETS, + , , , , , , , , + REG_LC0, REG_LT0, REG_LB0, REG_LC1, REG_LT1, REG_LB1, REG_CYCLES, + REG_CYCLES2, + REG_USP, REG_SEQSTAT, REG_SYSCFG, REG_RETI, REG_RETX, REG_RETN, REG_RETE, + REG_LASTREG */ + switch (fullreg >> 2) + { + case 0: case 1: return &DREG (reg); break; + case 2: case 3: return &PREG (reg); break; + case 4: return &IREG (reg & 3); break; + case 5: return &MREG (reg & 3); break; + case 6: return &BREG (reg & 3); break; + case 7: return &LREG (reg & 3); break; + default: + switch (fullreg) + { + case 32: return &saved_state.a0x; + case 33: return &saved_state.a0w; + case 34: return &saved_state.a1x; + case 35: return &saved_state.a1w; + case 39: return &saved_state.rets; + case 48: return &LC0REG; + case 49: return <0REG; + case 50: return &LB0REG; + case 51: return &LC1REG; + case 52: return <1REG; + case 53: return &LB1REG; + } + return 0; + } +} + static int decode_ProgCtrl_0 (TIword iw0, disassemble_info *outf) { @@ -597,51 +769,51 @@ decode_ProgCtrl_0 (TIword iw0, disassemble_info *outf) OUTS (outf, "EMUEXCPT"); else if (prgfunc == 3) { - OUTS (outf, "CLI "); + OUTS (outf, "CLI "); OUTS (outf, dregs (poprnd)); } else if (prgfunc == 4) { - OUTS (outf, "STI "); + OUTS (outf, "STI "); OUTS (outf, dregs (poprnd)); } else if (prgfunc == 5) { - OUTS (outf, "JUMP ("); + OUTS (outf, "JUMP ("); OUTS (outf, pregs (poprnd)); OUTS (outf, ")"); } else if (prgfunc == 6) { - OUTS (outf, "CALL ("); + OUTS (outf, "CALL ("); OUTS (outf, pregs (poprnd)); OUTS (outf, ")"); } else if (prgfunc == 7) { - OUTS (outf, "CALL (PC+"); + OUTS (outf, "CALL (PC + "); OUTS (outf, pregs (poprnd)); OUTS (outf, ")"); } else if (prgfunc == 8) { - OUTS (outf, "JUMP (PC+"); + OUTS (outf, "JUMP (PC + "); OUTS (outf, pregs (poprnd)); OUTS (outf, ")"); } else if (prgfunc == 9) { - OUTS (outf, "RAISE "); + OUTS (outf, "RAISE "); OUTS (outf, uimm4 (poprnd)); } else if (prgfunc == 10) { - OUTS (outf, "EXCPT "); + OUTS (outf, "EXCPT "); OUTS (outf, uimm4 (poprnd)); } else if (prgfunc == 11) { - OUTS (outf, "TESTSET ("); + OUTS (outf, "TESTSET ("); OUTS (outf, pregs (poprnd)); OUTS (outf, ")"); } @@ -752,49 +924,45 @@ decode_PushPopMultiple_0 (TIword iw0, disassemble_info *outf) int W = ((iw0 >> PushPopMultiple_W_bits) & PushPopMultiple_W_mask); int dr = ((iw0 >> PushPopMultiple_dr_bits) & PushPopMultiple_dr_mask); int pr = ((iw0 >> PushPopMultiple_pr_bits) & PushPopMultiple_pr_mask); - char ps[5], ds[5]; - - sprintf (ps, "%d", pr); - sprintf (ds, "%d", dr); if (W == 1 && d == 1 && p == 1) { OUTS (outf, "[--SP] = (R7:"); - OUTS (outf, ds); + OUTS (outf, imm5d (dr)); OUTS (outf, ", P5:"); - OUTS (outf, ps); + OUTS (outf, imm5d (pr)); OUTS (outf, ")"); } else if (W == 1 && d == 1 && p == 0) { OUTS (outf, "[--SP] = (R7:"); - OUTS (outf, ds); + OUTS (outf, imm5d (dr)); OUTS (outf, ")"); } else if (W == 1 && d == 0 && p == 1) { OUTS (outf, "[--SP] = (P5:"); - OUTS (outf, ps); + OUTS (outf, imm5d (pr)); OUTS (outf, ")"); } else if (W == 0 && d == 1 && p == 1) { OUTS (outf, "(R7:"); - OUTS (outf, ds); + OUTS (outf, imm5d (dr)); OUTS (outf, ", P5:"); - OUTS (outf, ps); + OUTS (outf, imm5d (pr)); OUTS (outf, ") = [SP++]"); } else if (W == 0 && d == 1 && p == 0) { OUTS (outf, "(R7:"); - OUTS (outf, ds); + OUTS (outf, imm5d (dr)); OUTS (outf, ") = [SP++]"); } else if (W == 0 && d == 0 && p == 1) { OUTS (outf, "(P5:"); - OUTS (outf, ps); + OUTS (outf, imm5d (pr)); OUTS (outf, ") = [SP++]"); } else @@ -824,7 +992,7 @@ decode_ccMV_0 (TIword iw0, disassemble_info *outf) } else if (T == 0) { - OUTS (outf, "IF ! CC "); + OUTS (outf, "IF !CC "); OUTS (outf, gregs (dst, d)); OUTS (outf, " = "); OUTS (outf, gregs (src, s)); @@ -849,160 +1017,160 @@ decode_CCflag_0 (TIword iw0, disassemble_info *outf) if (opc == 0 && I == 0 && G == 0) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, dregs (x)); - OUTS (outf, "=="); + OUTS (outf, " == "); OUTS (outf, dregs (y)); } else if (opc == 1 && I == 0 && G == 0) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, dregs (x)); - OUTS (outf, "<"); + OUTS (outf, " < "); OUTS (outf, dregs (y)); } else if (opc == 2 && I == 0 && G == 0) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, dregs (x)); - OUTS (outf, "<="); + OUTS (outf, " <= "); OUTS (outf, dregs (y)); } else if (opc == 3 && I == 0 && G == 0) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, dregs (x)); - OUTS (outf, "<"); + OUTS (outf, " < "); OUTS (outf, dregs (y)); - OUTS (outf, "(IU)"); + OUTS (outf, " (IU)"); } else if (opc == 4 && I == 0 && G == 0) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, dregs (x)); - OUTS (outf, "<="); + OUTS (outf, " <= "); OUTS (outf, dregs (y)); - OUTS (outf, "(IU)"); + OUTS (outf, " (IU)"); } else if (opc == 0 && I == 1 && G == 0) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, dregs (x)); - OUTS (outf, "=="); + OUTS (outf, " == "); OUTS (outf, imm3 (y)); } else if (opc == 1 && I == 1 && G == 0) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, dregs (x)); - OUTS (outf, "<"); + OUTS (outf, " < "); OUTS (outf, imm3 (y)); } else if (opc == 2 && I == 1 && G == 0) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, dregs (x)); - OUTS (outf, "<="); + OUTS (outf, " <= "); OUTS (outf, imm3 (y)); } else if (opc == 3 && I == 1 && G == 0) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, dregs (x)); - OUTS (outf, "<"); + OUTS (outf, " < "); OUTS (outf, uimm3 (y)); - OUTS (outf, "(IU)"); + OUTS (outf, " (IU)"); } else if (opc == 4 && I == 1 && G == 0) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, dregs (x)); - OUTS (outf, "<="); + OUTS (outf, " <= "); OUTS (outf, uimm3 (y)); - OUTS (outf, "(IU)"); + OUTS (outf, " (IU)"); } else if (opc == 0 && I == 0 && G == 1) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, pregs (x)); - OUTS (outf, "=="); + OUTS (outf, " == "); OUTS (outf, pregs (y)); } else if (opc == 1 && I == 0 && G == 1) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, pregs (x)); - OUTS (outf, "<"); + OUTS (outf, " < "); OUTS (outf, pregs (y)); } else if (opc == 2 && I == 0 && G == 1) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, pregs (x)); - OUTS (outf, "<="); + OUTS (outf, " <= "); OUTS (outf, pregs (y)); } else if (opc == 3 && I == 0 && G == 1) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, pregs (x)); - OUTS (outf, "<"); + OUTS (outf, " < "); OUTS (outf, pregs (y)); - OUTS (outf, "(IU)"); + OUTS (outf, " (IU)"); } else if (opc == 4 && I == 0 && G == 1) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, pregs (x)); - OUTS (outf, "<="); + OUTS (outf, " <= "); OUTS (outf, pregs (y)); - OUTS (outf, "(IU)"); + OUTS (outf, " (IU)"); } else if (opc == 0 && I == 1 && G == 1) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, pregs (x)); - OUTS (outf, "=="); + OUTS (outf, " == "); OUTS (outf, imm3 (y)); } else if (opc == 1 && I == 1 && G == 1) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, pregs (x)); - OUTS (outf, "<"); + OUTS (outf, " < "); OUTS (outf, imm3 (y)); } else if (opc == 2 && I == 1 && G == 1) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, pregs (x)); - OUTS (outf, "<="); + OUTS (outf, " <= "); OUTS (outf, imm3 (y)); } else if (opc == 3 && I == 1 && G == 1) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, pregs (x)); - OUTS (outf, "<"); + OUTS (outf, " < "); OUTS (outf, uimm3 (y)); - OUTS (outf, "(IU)"); + OUTS (outf, " (IU)"); } else if (opc == 4 && I == 1 && G == 1) { - OUTS (outf, "CC="); + OUTS (outf, "CC = "); OUTS (outf, pregs (x)); - OUTS (outf, "<="); + OUTS (outf, " <= "); OUTS (outf, uimm3 (y)); - OUTS (outf, "(IU)"); + OUTS (outf, " (IU)"); } else if (opc == 5 && I == 0 && G == 0) - OUTS (outf, "CC=A0==A1"); + OUTS (outf, "CC = A0 == A1"); else if (opc == 6 && I == 0 && G == 0) - OUTS (outf, "CC=A0> UJump_offset_bits) & UJump_offset_mask); - OUTS (outf, "JUMP.S "); + OUTS (outf, "JUMP.S 0x"); OUTS (outf, pcrel12 (offset)); return 2; } @@ -1159,8 +1327,21 @@ decode_REGMV_0 (TIword iw0, disassemble_info *outf) int src = ((iw0 >> RegMv_src_bits) & RegMv_src_mask); int dst = ((iw0 >> RegMv_dst_bits) & RegMv_dst_mask); + if (!((IS_GENREG (gd, dst) && IS_GENREG (gs, src)) + || (IS_GENREG (gd, dst) && IS_DAGREG (gs, src)) + || (IS_DAGREG (gd, dst) && IS_GENREG (gs, src)) + || (IS_DAGREG (gd, dst) && IS_DAGREG (gs, src)) + || (IS_GENREG (gd, dst) && gs == 7 && src == 0) + || (gd == 7 && dst == 0 && IS_GENREG (gs, src)) + || (IS_DREG (gd, dst) && IS_SYSREG (gs, src)) + || (IS_PREG (gd, dst) && IS_SYSREG (gs, src)) + || (IS_SYSREG (gd, dst) && IS_DREG (gs, src)) + || (IS_SYSREG (gd, dst) && IS_PREG (gs, src)) + || (IS_SYSREG (gd, dst) && gs == 7 && src == 0))) + return 0; + OUTS (outf, allregs (dst, gd)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, allregs (src, gs)); return 2; } @@ -1179,99 +1360,99 @@ decode_ALU2op_0 (TIword iw0, disassemble_info *outf) if (opc == 0) { OUTS (outf, dregs (dst)); - OUTS (outf, ">>>="); + OUTS (outf, " >>>= "); OUTS (outf, dregs (src)); } else if (opc == 1) { OUTS (outf, dregs (dst)); - OUTS (outf, ">>="); + OUTS (outf, " >>= "); OUTS (outf, dregs (src)); } else if (opc == 2) { OUTS (outf, dregs (dst)); - OUTS (outf, "<<="); + OUTS (outf, " <<= "); OUTS (outf, dregs (src)); } else if (opc == 3) { OUTS (outf, dregs (dst)); - OUTS (outf, "*="); + OUTS (outf, " *= "); OUTS (outf, dregs (src)); } else if (opc == 4) { OUTS (outf, dregs (dst)); - OUTS (outf, "=("); + OUTS (outf, " = ("); OUTS (outf, dregs (dst)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs (src)); - OUTS (outf, ")<<1"); + OUTS (outf, ") << 0x1"); } else if (opc == 5) { OUTS (outf, dregs (dst)); - OUTS (outf, "=("); + OUTS (outf, " = ("); OUTS (outf, dregs (dst)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs (src)); - OUTS (outf, ")<<2"); + OUTS (outf, ") << 0x2"); } else if (opc == 8) { - OUTS (outf, "DIVQ("); + OUTS (outf, "DIVQ ("); OUTS (outf, dregs (dst)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src)); OUTS (outf, ")"); } else if (opc == 9) { - OUTS (outf, "DIVS("); + OUTS (outf, "DIVS ("); OUTS (outf, dregs (dst)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src)); OUTS (outf, ")"); } else if (opc == 10) { OUTS (outf, dregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (src)); - OUTS (outf, "(X)"); + OUTS (outf, " (X)"); } else if (opc == 11) { OUTS (outf, dregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (src)); - OUTS (outf, "(Z)"); + OUTS (outf, " (Z)"); } else if (opc == 12) { OUTS (outf, dregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_byte (src)); - OUTS (outf, "(X)"); + OUTS (outf, " (X)"); } else if (opc == 13) { OUTS (outf, dregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_byte (src)); - OUTS (outf, "(Z)"); + OUTS (outf, " (Z)"); } else if (opc == 14) { OUTS (outf, dregs (dst)); - OUTS (outf, "=-"); + OUTS (outf, " = -"); OUTS (outf, dregs (src)); } else if (opc == 15) { OUTS (outf, dregs (dst)); - OUTS (outf, "=~"); + OUTS (outf, " =~ "); OUTS (outf, dregs (src)); } else @@ -1294,54 +1475,54 @@ decode_PTR2op_0 (TIword iw0, disassemble_info *outf) if (opc == 0) { OUTS (outf, pregs (dst)); - OUTS (outf, "-="); + OUTS (outf, " -= "); OUTS (outf, pregs (src)); } else if (opc == 1) { OUTS (outf, pregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, pregs (src)); - OUTS (outf, "<<2"); + OUTS (outf, " << 0x2"); } else if (opc == 3) { OUTS (outf, pregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, pregs (src)); - OUTS (outf, ">>2"); + OUTS (outf, " >> 0x2"); } else if (opc == 4) { OUTS (outf, pregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, pregs (src)); - OUTS (outf, ">>1"); + OUTS (outf, " >> 0x1"); } else if (opc == 5) { OUTS (outf, pregs (dst)); - OUTS (outf, "+="); + OUTS (outf, " += "); OUTS (outf, pregs (src)); - OUTS (outf, "(BREV)"); + OUTS (outf, " (BREV)"); } else if (opc == 6) { OUTS (outf, pregs (dst)); - OUTS (outf, "=("); + OUTS (outf, " = ("); OUTS (outf, pregs (dst)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, pregs (src)); - OUTS (outf, ")<<1"); + OUTS (outf, ") << 0x1"); } else if (opc == 7) { OUTS (outf, pregs (dst)); - OUTS (outf, "=("); + OUTS (outf, " = ("); OUTS (outf, pregs (dst)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, pregs (src)); - OUTS (outf, ")<<2"); + OUTS (outf, ") << 0x2"); } else return 0; @@ -1362,60 +1543,75 @@ decode_LOGI2op_0 (TIword iw0, disassemble_info *outf) if (opc == 0) { - OUTS (outf, "CC = ! BITTST ("); + OUTS (outf, "CC = !BITTST ("); OUTS (outf, dregs (dst)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, uimm5 (src)); - OUTS (outf, ")"); + OUTS (outf, ");\t\t/* bit"); + OUTS (outf, imm7d (src)); + OUTS (outf, " */"); + comment = 1; } else if (opc == 1) { OUTS (outf, "CC = BITTST ("); OUTS (outf, dregs (dst)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, uimm5 (src)); - OUTS (outf, ")"); + OUTS (outf, ");\t\t/* bit"); + OUTS (outf, imm7d (src)); + OUTS (outf, " */"); + comment = 1; } else if (opc == 2) { OUTS (outf, "BITSET ("); OUTS (outf, dregs (dst)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, uimm5 (src)); - OUTS (outf, ")"); + OUTS (outf, ");\t\t/* bit"); + OUTS (outf, imm7d (src)); + OUTS (outf, " */"); + comment = 1; } else if (opc == 3) { OUTS (outf, "BITTGL ("); OUTS (outf, dregs (dst)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, uimm5 (src)); - OUTS (outf, ")"); + OUTS (outf, ");\t\t/* bit"); + OUTS (outf, imm7d (src)); + OUTS (outf, " */"); + comment = 1; } else if (opc == 4) { OUTS (outf, "BITCLR ("); OUTS (outf, dregs (dst)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, uimm5 (src)); - OUTS (outf, ")"); + OUTS (outf, ");\t\t/* bit"); + OUTS (outf, imm7d (src)); + OUTS (outf, " */"); + comment = 1; } else if (opc == 5) { OUTS (outf, dregs (dst)); - OUTS (outf, ">>>="); + OUTS (outf, " >>>= "); OUTS (outf, uimm5 (src)); } else if (opc == 6) { OUTS (outf, dregs (dst)); - OUTS (outf, ">>="); + OUTS (outf, " >>= "); OUTS (outf, uimm5 (src)); } else if (opc == 7) { OUTS (outf, dregs (dst)); - OUTS (outf, "<<="); + OUTS (outf, " <<= "); OUTS (outf, uimm5 (src)); } else @@ -1439,74 +1635,74 @@ decode_COMP3op_0 (TIword iw0, disassemble_info *outf) if (opc == 5 && src1 == src0) { OUTS (outf, pregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, pregs (src0)); - OUTS (outf, "<<1"); + OUTS (outf, " << 0x1"); } else if (opc == 1) { OUTS (outf, dregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs (src1)); } else if (opc == 2) { OUTS (outf, dregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "&"); + OUTS (outf, " & "); OUTS (outf, dregs (src1)); } else if (opc == 3) { OUTS (outf, dregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "|"); + OUTS (outf, " | "); OUTS (outf, dregs (src1)); } else if (opc == 4) { OUTS (outf, dregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "^"); + OUTS (outf, " ^ "); OUTS (outf, dregs (src1)); } else if (opc == 5) { OUTS (outf, pregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, pregs (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, pregs (src1)); } else if (opc == 6) { OUTS (outf, pregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, pregs (src0)); - OUTS (outf, "+("); + OUTS (outf, " + ("); OUTS (outf, pregs (src1)); - OUTS (outf, "<<1)"); + OUTS (outf, " << 0x1)"); } else if (opc == 7) { OUTS (outf, pregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, pregs (src0)); - OUTS (outf, "+("); + OUTS (outf, " + ("); OUTS (outf, pregs (src1)); - OUTS (outf, "<<2)"); + OUTS (outf, " << 0x2)"); } else if (opc == 0) { OUTS (outf, dregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs (src1)); } else @@ -1526,18 +1722,43 @@ decode_COMPI2opD_0 (TIword iw0, disassemble_info *outf) int dst = ((iw0 >> COMPI2opD_dst_bits) & COMPI2opD_dst_mask); int src = ((iw0 >> COMPI2opD_src_bits) & COMPI2opD_src_mask); + bu32 *pval = get_allreg (0, dst); + + /* Since we don't have 32-bit immediate loads, we allow the disassembler + to combine them, so it prints out the right values. + Here we keep track of the registers. */ + if (op == 0) + { + *pval = imm7_val (src); + if (src & 0x40) + *pval |= 0xFFFFFF80; + else + *pval &= 0x7F; + } + if (op == 0) { OUTS (outf, dregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, imm7 (src)); - OUTS (outf, "(x)"); + OUTS (outf, " (X);\t\t/*\t\t"); + OUTS (outf, dregs (dst)); + OUTS (outf, "="); + OUTS (outf, uimm32 (*pval)); + OUTS (outf, "("); + OUTS (outf, imm32 (*pval)); + OUTS (outf, ") */"); + comment = 1; } else if (op == 1) { OUTS (outf, dregs (dst)); - OUTS (outf, "+="); + OUTS (outf, " += "); OUTS (outf, imm7 (src)); + OUTS (outf, ";\t\t/* ("); + OUTS (outf, imm7d (src)); + OUTS (outf, ") */"); + comment = 1; } else return 0; @@ -1556,17 +1777,40 @@ decode_COMPI2opP_0 (TIword iw0, disassemble_info *outf) int src = ((iw0 >> COMPI2opP_src_bits) & COMPI2opP_src_mask); int dst = ((iw0 >> COMPI2opP_dst_bits) & COMPI2opP_dst_mask); + bu32 *pval = get_allreg (1, dst); + + if (op == 0) + { + *pval = imm7_val (src); + if (src & 0x40) + *pval |= 0xFFFFFF80; + else + *pval &= 0x7F; + } + if (op == 0) { OUTS (outf, pregs (dst)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, imm7 (src)); + OUTS (outf, " (X);\t\t/*\t\t"); + OUTS (outf, pregs (dst)); + OUTS (outf, "="); + OUTS (outf, uimm32 (*pval)); + OUTS (outf, "("); + OUTS (outf, imm32 (*pval)); + OUTS (outf, ") */"); + comment = 1; } else if (op == 1) { OUTS (outf, pregs (dst)); - OUTS (outf, "+="); + OUTS (outf, " += "); OUTS (outf, imm7 (src)); + OUTS (outf, ";\t\t/* ("); + OUTS (outf, imm7d (src)); + OUTS (outf, ") */"); + comment = 1; } else return 0; @@ -1590,14 +1834,14 @@ decode_LDSTpmod_0 (TIword iw0, disassemble_info *outf) if (aop == 1 && W == 0 && idx == ptr) { OUTS (outf, dregs_lo (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); OUTS (outf, "]"); } else if (aop == 2 && W == 0 && idx == ptr) { OUTS (outf, dregs_hi (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); OUTS (outf, "]"); } @@ -1605,86 +1849,86 @@ decode_LDSTpmod_0 (TIword iw0, disassemble_info *outf) { OUTS (outf, "W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs_lo (reg)); } else if (aop == 2 && W == 1 && idx == ptr) { OUTS (outf, "W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs_hi (reg)); } else if (aop == 0 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++"); + OUTS (outf, " ++ "); OUTS (outf, pregs (idx)); OUTS (outf, "]"); } else if (aop == 1 && W == 0) { OUTS (outf, dregs_lo (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++"); + OUTS (outf, " ++ "); OUTS (outf, pregs (idx)); OUTS (outf, "]"); } else if (aop == 2 && W == 0) { OUTS (outf, dregs_hi (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++"); + OUTS (outf, " ++ "); OUTS (outf, pregs (idx)); OUTS (outf, "]"); } else if (aop == 3 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++"); + OUTS (outf, " ++ "); OUTS (outf, pregs (idx)); OUTS (outf, "] (Z)"); } else if (aop == 3 && W == 1) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++"); + OUTS (outf, " ++ "); OUTS (outf, pregs (idx)); - OUTS (outf, "](X)"); + OUTS (outf, "] (X)"); } else if (aop == 0 && W == 1) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++"); + OUTS (outf, " ++ "); OUTS (outf, pregs (idx)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else if (aop == 1 && W == 1) { OUTS (outf, "W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++"); + OUTS (outf, " ++ "); OUTS (outf, pregs (idx)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs_lo (reg)); } else if (aop == 2 && W == 1) { OUTS (outf, "W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++"); + OUTS (outf, " ++ "); OUTS (outf, pregs (idx)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs_hi (reg)); } else @@ -1708,20 +1952,20 @@ decode_dagMODim_0 (TIword iw0, disassemble_info *outf) if (op == 0 && br == 1) { OUTS (outf, iregs (i)); - OUTS (outf, "+="); + OUTS (outf, " += "); OUTS (outf, mregs (m)); - OUTS (outf, "(BREV)"); + OUTS (outf, " (BREV)"); } else if (op == 0) { OUTS (outf, iregs (i)); - OUTS (outf, "+="); + OUTS (outf, " += "); OUTS (outf, mregs (m)); } else if (op == 1) { OUTS (outf, iregs (i)); - OUTS (outf, "-="); + OUTS (outf, " -= "); OUTS (outf, mregs (m)); } else @@ -1743,26 +1987,37 @@ decode_dagMODik_0 (TIword iw0, disassemble_info *outf) if (op == 0) { OUTS (outf, iregs (i)); - OUTS (outf, "+=2"); + OUTS (outf, " += 0x2"); } else if (op == 1) { OUTS (outf, iregs (i)); - OUTS (outf, "-=2"); + OUTS (outf, " -= 0x2"); } else if (op == 2) { OUTS (outf, iregs (i)); - OUTS (outf, "+=4"); + OUTS (outf, " += 0x4"); } else if (op == 3) { OUTS (outf, iregs (i)); - OUTS (outf, "-=4"); + OUTS (outf, " -= 0x4"); } else return 0; + if (! parallel ) + { + OUTS (outf, ";\t\t/* ( "); + if (op == 0 || op == 1) + OUTS (outf, "2"); + else if (op == 2 || op == 3) + OUTS (outf, "4"); + OUTS (outf, ") */"); + comment = 1; + } + return 2; } @@ -1782,63 +2037,63 @@ decode_dspLDST_0 (TIword iw0, disassemble_info *outf) if (aop == 0 && W == 0 && m == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, iregs (i)); OUTS (outf, "++]"); } else if (aop == 0 && W == 0 && m == 1) { OUTS (outf, dregs_lo (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, iregs (i)); OUTS (outf, "++]"); } else if (aop == 0 && W == 0 && m == 2) { OUTS (outf, dregs_hi (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, iregs (i)); OUTS (outf, "++]"); } else if (aop == 1 && W == 0 && m == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, iregs (i)); OUTS (outf, "--]"); } else if (aop == 1 && W == 0 && m == 1) { OUTS (outf, dregs_lo (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, iregs (i)); OUTS (outf, "--]"); } else if (aop == 1 && W == 0 && m == 2) { OUTS (outf, dregs_hi (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, iregs (i)); OUTS (outf, "--]"); } else if (aop == 2 && W == 0 && m == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, iregs (i)); OUTS (outf, "]"); } else if (aop == 2 && W == 0 && m == 1) { OUTS (outf, dregs_lo (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, iregs (i)); OUTS (outf, "]"); } else if (aop == 2 && W == 0 && m == 2) { OUTS (outf, dregs_hi (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, iregs (i)); OUTS (outf, "]"); } @@ -1846,71 +2101,71 @@ decode_dspLDST_0 (TIword iw0, disassemble_info *outf) { OUTS (outf, "["); OUTS (outf, iregs (i)); - OUTS (outf, "++]="); + OUTS (outf, "++] = "); OUTS (outf, dregs (reg)); } else if (aop == 0 && W == 1 && m == 1) { OUTS (outf, "W["); OUTS (outf, iregs (i)); - OUTS (outf, "++]="); + OUTS (outf, "++] = "); OUTS (outf, dregs_lo (reg)); } else if (aop == 0 && W == 1 && m == 2) { OUTS (outf, "W["); OUTS (outf, iregs (i)); - OUTS (outf, "++]="); + OUTS (outf, "++] = "); OUTS (outf, dregs_hi (reg)); } else if (aop == 1 && W == 1 && m == 0) { OUTS (outf, "["); OUTS (outf, iregs (i)); - OUTS (outf, "--]="); + OUTS (outf, "--] = "); OUTS (outf, dregs (reg)); } else if (aop == 1 && W == 1 && m == 1) { OUTS (outf, "W["); OUTS (outf, iregs (i)); - OUTS (outf, "--]="); + OUTS (outf, "--] = "); OUTS (outf, dregs_lo (reg)); } else if (aop == 1 && W == 1 && m == 2) { OUTS (outf, "W["); OUTS (outf, iregs (i)); - OUTS (outf, "--]="); + OUTS (outf, "--] = "); OUTS (outf, dregs_hi (reg)); } else if (aop == 2 && W == 1 && m == 0) { OUTS (outf, "["); OUTS (outf, iregs (i)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else if (aop == 2 && W == 1 && m == 1) { OUTS (outf, "W["); OUTS (outf, iregs (i)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs_lo (reg)); } else if (aop == 2 && W == 1 && m == 2) { OUTS (outf, "W["); OUTS (outf, iregs (i)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs_hi (reg)); } else if (aop == 3 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, iregs (i)); - OUTS (outf, "++"); + OUTS (outf, " ++ "); OUTS (outf, mregs (m)); OUTS (outf, "]"); } @@ -1918,9 +2173,9 @@ decode_dspLDST_0 (TIword iw0, disassemble_info *outf) { OUTS (outf, "["); OUTS (outf, iregs (i)); - OUTS (outf, "++"); + OUTS (outf, " ++ "); OUTS (outf, mregs (m)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else @@ -1946,211 +2201,211 @@ decode_LDST_0 (TIword iw0, disassemble_info *outf) if (aop == 0 && sz == 0 && Z == 0 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); OUTS (outf, "++]"); } else if (aop == 0 && sz == 0 && Z == 1 && W == 0) { OUTS (outf, pregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); OUTS (outf, "++]"); } else if (aop == 0 && sz == 1 && Z == 0 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); OUTS (outf, "++] (Z)"); } else if (aop == 0 && sz == 1 && Z == 1 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++](X)"); + OUTS (outf, "++] (X)"); } else if (aop == 0 && sz == 2 && Z == 0 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=B["); + OUTS (outf, " = B["); OUTS (outf, pregs (ptr)); OUTS (outf, "++] (Z)"); } else if (aop == 0 && sz == 2 && Z == 1 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=B["); + OUTS (outf, " = B["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++](X)"); + OUTS (outf, "++] (X)"); } else if (aop == 1 && sz == 0 && Z == 0 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); OUTS (outf, "--]"); } else if (aop == 1 && sz == 0 && Z == 1 && W == 0) { OUTS (outf, pregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); OUTS (outf, "--]"); } else if (aop == 1 && sz == 1 && Z == 0 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); OUTS (outf, "--] (Z)"); } else if (aop == 1 && sz == 1 && Z == 1 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "--](X)"); + OUTS (outf, "--] (X)"); } else if (aop == 1 && sz == 2 && Z == 0 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=B["); + OUTS (outf, " = B["); OUTS (outf, pregs (ptr)); OUTS (outf, "--] (Z)"); } else if (aop == 1 && sz == 2 && Z == 1 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=B["); + OUTS (outf, " = B["); OUTS (outf, pregs (ptr)); - OUTS (outf, "--](X)"); + OUTS (outf, "--] (X)"); } else if (aop == 2 && sz == 0 && Z == 0 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); OUTS (outf, "]"); } else if (aop == 2 && sz == 0 && Z == 1 && W == 0) { OUTS (outf, pregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); OUTS (outf, "]"); } else if (aop == 2 && sz == 1 && Z == 0 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); OUTS (outf, "] (Z)"); } else if (aop == 2 && sz == 1 && Z == 1 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "](X)"); + OUTS (outf, "] (X)"); } else if (aop == 2 && sz == 2 && Z == 0 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=B["); + OUTS (outf, " = B["); OUTS (outf, pregs (ptr)); OUTS (outf, "] (Z)"); } else if (aop == 2 && sz == 2 && Z == 1 && W == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=B["); + OUTS (outf, " = B["); OUTS (outf, pregs (ptr)); - OUTS (outf, "](X)"); + OUTS (outf, "] (X)"); } else if (aop == 0 && sz == 0 && Z == 0 && W == 1) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++]="); + OUTS (outf, "++] = "); OUTS (outf, dregs (reg)); } else if (aop == 0 && sz == 0 && Z == 1 && W == 1) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++]="); + OUTS (outf, "++] = "); OUTS (outf, pregs (reg)); } else if (aop == 0 && sz == 1 && Z == 0 && W == 1) { OUTS (outf, "W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++]="); + OUTS (outf, "++] = "); OUTS (outf, dregs (reg)); } else if (aop == 0 && sz == 2 && Z == 0 && W == 1) { OUTS (outf, "B["); OUTS (outf, pregs (ptr)); - OUTS (outf, "++]="); + OUTS (outf, "++] = "); OUTS (outf, dregs (reg)); } else if (aop == 1 && sz == 0 && Z == 0 && W == 1) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "--]="); + OUTS (outf, "--] = "); OUTS (outf, dregs (reg)); } else if (aop == 1 && sz == 0 && Z == 1 && W == 1) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "--]="); + OUTS (outf, "--] = "); OUTS (outf, pregs (reg)); } else if (aop == 1 && sz == 1 && Z == 0 && W == 1) { OUTS (outf, "W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "--]="); + OUTS (outf, "--] = "); OUTS (outf, dregs (reg)); } else if (aop == 1 && sz == 2 && Z == 0 && W == 1) { OUTS (outf, "B["); OUTS (outf, pregs (ptr)); - OUTS (outf, "--]="); + OUTS (outf, "--] = "); OUTS (outf, dregs (reg)); } else if (aop == 2 && sz == 0 && Z == 0 && W == 1) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else if (aop == 2 && sz == 0 && Z == 1 && W == 1) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, pregs (reg)); } else if (aop == 2 && sz == 1 && Z == 0 && W == 1) { OUTS (outf, "W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else if (aop == 2 && sz == 2 && Z == 0 && W == 1) { OUTS (outf, "B["); OUTS (outf, pregs (ptr)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else @@ -2173,15 +2428,15 @@ decode_LDSTiiFP_0 (TIword iw0, disassemble_info *outf) if (W == 0) { OUTS (outf, dpregs (reg)); - OUTS (outf, "=[FP"); + OUTS (outf, " = [FP "); OUTS (outf, negimm5s4 (offset)); OUTS (outf, "]"); } else if (W == 1) { - OUTS (outf, "[FP"); + OUTS (outf, "[FP "); OUTS (outf, negimm5s4 (offset)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dpregs (reg)); } else @@ -2206,36 +2461,36 @@ decode_LDSTii_0 (TIword iw0, disassemble_info *outf) if (W == 0 && op == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, uimm4s4 (offset)); OUTS (outf, "]"); } else if (W == 0 && op == 1) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, uimm4s2 (offset)); OUTS (outf, "] (Z)"); } else if (W == 0 && op == 2) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, uimm4s2 (offset)); - OUTS (outf, "](X)"); + OUTS (outf, "] (X)"); } else if (W == 0 && op == 3) { OUTS (outf, pregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, uimm4s4 (offset)); OUTS (outf, "]"); } @@ -2243,29 +2498,27 @@ decode_LDSTii_0 (TIword iw0, disassemble_info *outf) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, uimm4s4 (offset)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else if (W == 1 && op == 1) { - OUTS (outf, "W"); - OUTS (outf, "["); + OUTS (outf, "W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, uimm4s2 (offset)); - OUTS (outf, "]"); - OUTS (outf, "="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else if (W == 1 && op == 3) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, uimm4s4 (offset)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, pregs (reg)); } else @@ -2291,37 +2544,37 @@ decode_LoopSetup_0 (TIword iw0, TIword iw1, bfd_vma pc, disassemble_info *outf) if (rop == 0) { OUTS (outf, "LSETUP"); - OUTS (outf, "("); + OUTS (outf, "(0x"); OUTS (outf, pcrel4 (soffset)); - OUTS (outf, ","); + OUTS (outf, ", 0x"); OUTS (outf, lppcrel10 (eoffset)); - OUTS (outf, ")"); + OUTS (outf, ") "); OUTS (outf, counters (c)); } else if (rop == 1) { OUTS (outf, "LSETUP"); - OUTS (outf, "("); + OUTS (outf, "(0x"); OUTS (outf, pcrel4 (soffset)); - OUTS (outf, ","); + OUTS (outf, ", 0x"); OUTS (outf, lppcrel10 (eoffset)); - OUTS (outf, ")"); + OUTS (outf, ") "); OUTS (outf, counters (c)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, pregs (reg)); } else if (rop == 3) { OUTS (outf, "LSETUP"); - OUTS (outf, "("); + OUTS (outf, "(0x"); OUTS (outf, pcrel4 (soffset)); - OUTS (outf, ","); + OUTS (outf, ", 0x"); OUTS (outf, lppcrel10 (eoffset)); - OUTS (outf, ")"); + OUTS (outf, ") "); OUTS (outf, counters (c)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, pregs (reg)); - OUTS (outf, ">>1"); + OUTS (outf, " >> 0x1"); } else return 0; @@ -2344,54 +2597,126 @@ decode_LDIMMhalf_0 (TIword iw0, TIword iw1, disassemble_info *outf) int grp = ((iw0 >> (LDIMMhalf_grp_bits - 16)) & LDIMMhalf_grp_mask); int hword = ((iw1 >> LDIMMhalf_hword_bits) & LDIMMhalf_hword_mask); + bu32 *pval = get_allreg (grp, reg); + + /* Since we don't have 32-bit immediate loads, we allow the disassembler + to combine them, so it prints out the right values. + Here we keep track of the registers. */ + if (H == 0 && S == 1 && Z == 0) + { + /* regs = imm16 (x) */ + *pval = imm16_val (hword); + if (hword & 0x8000) + *pval |= 0xFFFF0000; + else + *pval &= 0xFFFF; + } + else if (H == 0 && S == 0 && Z == 1) + { + /* regs = luimm16 (Z) */ + *pval = luimm16_val (hword); + *pval &= 0xFFFF; + } + else if (H == 0 && S == 0 && Z == 0) + { + /* regs_lo = luimm16 */ + *pval &= 0xFFFF0000; + *pval |= luimm16_val (hword); + } + else if (H == 1 && S == 0 && Z == 0) + { + /* regs_hi = huimm16 */ + *pval &= 0xFFFF; + *pval |= luimm16_val (hword) << 16; + } + + /* Here we do the disassembly */ if (grp == 0 && H == 0 && S == 0 && Z == 0) { OUTS (outf, dregs_lo (reg)); - OUTS (outf, "="); - OUTS (outf, imm16 (hword)); + OUTS (outf, " = "); + OUTS (outf, uimm16 (hword)); } else if (grp == 0 && H == 1 && S == 0 && Z == 0) { OUTS (outf, dregs_hi (reg)); - OUTS (outf, "="); - OUTS (outf, imm16 (hword)); + OUTS (outf, " = "); + OUTS (outf, uimm16 (hword)); } else if (grp == 0 && H == 0 && S == 1 && Z == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, imm16 (hword)); OUTS (outf, " (X)"); } else if (H == 0 && S == 1 && Z == 0) - { + { OUTS (outf, regs (reg, grp)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, imm16 (hword)); OUTS (outf, " (X)"); } else if (H == 0 && S == 0 && Z == 1) { OUTS (outf, regs (reg, grp)); - OUTS (outf, "="); - OUTS (outf, luimm16 (hword)); - OUTS (outf, "(Z)"); + OUTS (outf, " = "); + OUTS (outf, uimm16 (hword)); + OUTS (outf, " (Z)"); } else if (H == 0 && S == 0 && Z == 0) { OUTS (outf, regs_lo (reg, grp)); - OUTS (outf, "="); - OUTS (outf, luimm16 (hword)); + OUTS (outf, " = "); + OUTS (outf, uimm16 (hword)); } else if (H == 1 && S == 0 && Z == 0) { OUTS (outf, regs_hi (reg, grp)); - OUTS (outf, "="); - OUTS (outf, huimm16 (hword)); + OUTS (outf, " = "); + OUTS (outf, uimm16 (hword)); } else return 0; + /* And we print out the 32-bit value if it is a pointer. */ + if (S == 0 && Z == 0) + { + OUTS (outf, ";\t\t/* ("); + OUTS (outf, imm16d (hword)); + OUTS (outf, ")\t"); + + /* If it is an MMR, don't print the symbol. */ + if (*pval < 0xFFC00000 && grp == 1) + { + OUTS (outf, regs (reg, grp)); + OUTS (outf, "=0x"); + OUTS (outf, huimm32e (*pval)); + } + else + { + OUTS (outf, regs (reg, grp)); + OUTS (outf, "=0x"); + OUTS (outf, huimm32e (*pval)); + OUTS (outf, "("); + OUTS (outf, imm32 (*pval)); + OUTS (outf, ")"); + } + + OUTS (outf, " */"); + comment = 1; + } + if (S == 1 || Z == 1) + { + OUTS (outf, ";\t\t/*\t\t"); + OUTS (outf, regs (reg, grp)); + OUTS (outf, "=0x"); + OUTS (outf, huimm32e (*pval)); + OUTS (outf, "("); + OUTS (outf, imm32 (*pval)); + OUTS (outf, ") */"); + comment = 1; + } return 4; } @@ -2408,9 +2733,9 @@ decode_CALLa_0 (TIword iw0, TIword iw1, bfd_vma pc, disassemble_info *outf) int msw = ((iw0 >> 0) & 0xff); if (S == 1) - OUTS (outf, "CALL "); + OUTS (outf, "CALL 0x"); else if (S == 0) - OUTS (outf, "JUMP.L "); + OUTS (outf, "JUMP.L 0x"); else return 0; @@ -2436,91 +2761,91 @@ decode_LDSTidxI_0 (TIword iw0, TIword iw1, disassemble_info *outf) if (W == 0 && sz == 0 && Z == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, imm16s4 (offset)); OUTS (outf, "]"); } else if (W == 0 && sz == 0 && Z == 1) { OUTS (outf, pregs (reg)); - OUTS (outf, "=["); + OUTS (outf, " = ["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, imm16s4 (offset)); OUTS (outf, "]"); } else if (W == 0 && sz == 1 && Z == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, imm16s2 (offset)); OUTS (outf, "] (Z)"); } else if (W == 0 && sz == 1 && Z == 1) { OUTS (outf, dregs (reg)); - OUTS (outf, "=W["); + OUTS (outf, " = W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, imm16s2 (offset)); - OUTS (outf, "](X)"); + OUTS (outf, "] (X)"); } else if (W == 0 && sz == 2 && Z == 0) { OUTS (outf, dregs (reg)); - OUTS (outf, "=B["); + OUTS (outf, " = B["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, imm16 (offset)); OUTS (outf, "] (Z)"); } else if (W == 0 && sz == 2 && Z == 1) { OUTS (outf, dregs (reg)); - OUTS (outf, "=B["); + OUTS (outf, " = B["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, imm16 (offset)); - OUTS (outf, "](X)"); + OUTS (outf, "] (X)"); } else if (W == 1 && sz == 0 && Z == 0) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, imm16s4 (offset)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else if (W == 1 && sz == 0 && Z == 1) { OUTS (outf, "["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, imm16s4 (offset)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, pregs (reg)); } else if (W == 1 && sz == 1 && Z == 0) { OUTS (outf, "W["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, imm16s2 (offset)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else if (W == 1 && sz == 2 && Z == 0) { OUTS (outf, "B["); OUTS (outf, pregs (ptr)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, imm16 (offset)); - OUTS (outf, "]="); + OUTS (outf, "] = "); OUTS (outf, dregs (reg)); } else @@ -2544,6 +2869,10 @@ decode_linkage_0 (TIword iw0, TIword iw1, disassemble_info *outf) { OUTS (outf, "LINK "); OUTS (outf, uimm16s4 (framesize)); + OUTS (outf, ";\t\t/* ("); + OUTS (outf, uimm16s4d (framesize)); + OUTS (outf, ") */"); + comment = 1; } else if (R == 1) OUTS (outf, "UNLINK"); @@ -2585,7 +2914,7 @@ decode_dsp32mac_0 (TIword iw0, TIword iw1, disassemble_info *outf) if ((w1 || w0) && mmod == M_W32) return 0; - if (((1 << mmod) & (P ? 0x31b : 0x1b5f)) == 0) + if (((1 << mmod) & (P ? 0x131b : 0x1b5f)) == 0) return 0; if (w1 == 1 || op1 != 3) @@ -2708,279 +3037,263 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) if (aop == 0 && aopcde == 9 && HL == 0 && s == 0) { - OUTS (outf, "A0.L="); + OUTS (outf, "A0.L = "); OUTS (outf, dregs_lo (src0)); } else if (aop == 2 && aopcde == 9 && HL == 1 && s == 0) { - OUTS (outf, "A1.H="); + OUTS (outf, "A1.H = "); OUTS (outf, dregs_hi (src0)); } else if (aop == 2 && aopcde == 9 && HL == 0 && s == 0) { - OUTS (outf, "A1.L="); + OUTS (outf, "A1.L = "); OUTS (outf, dregs_lo (src0)); } else if (aop == 0 && aopcde == 9 && HL == 1 && s == 0) { - OUTS (outf, "A0.H="); + OUTS (outf, "A0.H = "); OUTS (outf, dregs_hi (src0)); } else if (x == 1 && HL == 1 && aop == 3 && aopcde == 5) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs (src1)); - OUTS (outf, "(RND20)"); + OUTS (outf, " (RND20)"); } else if (x == 1 && HL == 1 && aop == 2 && aopcde == 5) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs (src1)); - OUTS (outf, "(RND20)"); + OUTS (outf, " (RND20)"); } else if (x == 0 && HL == 0 && aop == 1 && aopcde == 5) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs (src1)); - OUTS (outf, "(RND12)"); + OUTS (outf, " (RND12)"); } else if (x == 0 && HL == 0 && aop == 0 && aopcde == 5) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs (src1)); - OUTS (outf, "(RND12)"); + OUTS (outf, " (RND12)"); } else if (x == 1 && HL == 0 && aop == 3 && aopcde == 5) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs (src1)); - OUTS (outf, "(RND20)"); + OUTS (outf, " (RND20)"); } else if (x == 0 && HL == 1 && aop == 0 && aopcde == 5) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs (src1)); - OUTS (outf, "(RND12)"); + OUTS (outf, " (RND12)"); } else if (x == 1 && HL == 0 && aop == 2 && aopcde == 5) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs (src1)); - OUTS (outf, "(RND20)"); + OUTS (outf, " (RND20)"); } else if (x == 0 && HL == 1 && aop == 1 && aopcde == 5) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs (src1)); - OUTS (outf, "(RND12)"); + OUTS (outf, " (RND12)"); } else if (HL == 1 && aop == 0 && aopcde == 2) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs_lo (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 1 && aop == 1 && aopcde == 2) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs_hi (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 1 && aop == 2 && aopcde == 2) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_hi (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs_lo (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 1 && aop == 3 && aopcde == 2) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_hi (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs_hi (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 0 && aop == 0 && aopcde == 3) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs_lo (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 0 && aop == 1 && aopcde == 3) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs_hi (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 0 && aop == 3 && aopcde == 2) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_hi (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs_hi (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 1 && aop == 0 && aopcde == 3) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs_lo (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 1 && aop == 1 && aopcde == 3) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs_hi (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 1 && aop == 2 && aopcde == 3) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_hi (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs_lo (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 1 && aop == 3 && aopcde == 3) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_hi (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs_hi (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 0 && aop == 2 && aopcde == 2) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_hi (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs_lo (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 0 && aop == 1 && aopcde == 2) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs_hi (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 0 && aop == 2 && aopcde == 3) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_hi (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs_lo (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 0 && aop == 3 && aopcde == 3) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_hi (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs_hi (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 0 && aop == 0 && aopcde == 2) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs_lo (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (aop == 0 && aopcde == 9 && s == 1) { - OUTS (outf, "A0="); + OUTS (outf, "A0 = "); OUTS (outf, dregs (src0)); } else if (aop == 3 && aopcde == 11 && s == 0) - OUTS (outf, "A0-=A1"); + OUTS (outf, "A0 -= A1"); else if (aop == 3 && aopcde == 11 && s == 1) - OUTS (outf, "A0-=A1(W32)"); + OUTS (outf, "A0 -= A1 (W32)"); else if (aop == 3 && aopcde == 22 && HL == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP2M("); + OUTS (outf, " = BYTEOP2M ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); OUTS (outf, imm5 (src1)); - OUTS (outf, ")(TH"); + OUTS (outf, ") (TH"); if (s == 1) OUTS (outf, ", R)"); else @@ -2989,15 +3302,15 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (aop == 3 && aopcde == 22 && HL == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP2M("); + OUTS (outf, " = BYTEOP2M ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); OUTS (outf, imm5 (src1)); - OUTS (outf, ")(TL"); + OUTS (outf, ") (TL"); if (s == 1) OUTS (outf, ", R)"); else @@ -3006,15 +3319,15 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (aop == 2 && aopcde == 22 && HL == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP2M("); + OUTS (outf, " = BYTEOP2M ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); OUTS (outf, imm5 (src1)); - OUTS (outf, ")(RNDH"); + OUTS (outf, ") (RNDH"); if (s == 1) OUTS (outf, ", R)"); else @@ -3023,15 +3336,15 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (aop == 2 && aopcde == 22 && HL == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP2M("); + OUTS (outf, " = BYTEOP2M ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); OUTS (outf, imm5 (src1)); - OUTS (outf, ")(RNDL"); + OUTS (outf, ") (RNDL"); if (s == 1) OUTS (outf, ", R)"); else @@ -3040,15 +3353,15 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (aop == 1 && aopcde == 22 && HL == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP2P("); + OUTS (outf, " = BYTEOP2P ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); - OUTS (outf, ")(TH"); + OUTS (outf, imm5d (src1)); + OUTS (outf, ") (TH"); if (s == 1) OUTS (outf, ", R)"); else @@ -3057,15 +3370,15 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (aop == 1 && aopcde == 22 && HL == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP2P("); + OUTS (outf, " = BYTEOP2P ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); - OUTS (outf, ")(TL"); + OUTS (outf, imm5d (src1)); + OUTS (outf, ") (TL"); if (s == 1) OUTS (outf, ", R)"); else @@ -3074,15 +3387,15 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (aop == 0 && aopcde == 22 && HL == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP2P("); + OUTS (outf, " = BYTEOP2P ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); - OUTS (outf, ")(RNDH"); + OUTS (outf, imm5d (src1)); + OUTS (outf, ") (RNDH"); if (s == 1) OUTS (outf, ", R)"); else @@ -3091,69 +3404,69 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (aop == 0 && aopcde == 22 && HL == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP2P("); + OUTS (outf, " = BYTEOP2P ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); - OUTS (outf, ")(RNDL"); + OUTS (outf, imm5d (src1)); + OUTS (outf, ") (RNDL"); if (s == 1) OUTS (outf, ", R)"); else OUTS (outf, ")"); } else if (aop == 0 && s == 0 && aopcde == 8) - OUTS (outf, "A0=0"); + OUTS (outf, "A0 = 0"); else if (aop == 0 && s == 1 && aopcde == 8) - OUTS (outf, "A0=A0(S)"); + OUTS (outf, "A0 = A0 (S)"); else if (aop == 1 && s == 0 && aopcde == 8) - OUTS (outf, "A1=0"); + OUTS (outf, "A1 = 0"); else if (aop == 1 && s == 1 && aopcde == 8) - OUTS (outf, "A1=A1(S)"); + OUTS (outf, "A1 = A1 (S)"); else if (aop == 2 && s == 0 && aopcde == 8) - OUTS (outf, "A1=A0=0"); + OUTS (outf, "A1 = A0 = 0"); else if (aop == 2 && s == 1 && aopcde == 8) - OUTS (outf, "A1=A1(S),A0=A0(S)"); + OUTS (outf, "A1 = A1 (S), A0 = A0 (S)"); else if (aop == 3 && s == 0 && aopcde == 8) - OUTS (outf, "A0=A1"); + OUTS (outf, "A0 = A1"); else if (aop == 3 && s == 1 && aopcde == 8) - OUTS (outf, "A1=A0"); + OUTS (outf, "A1 = A0"); else if (aop == 1 && aopcde == 9 && s == 0) { - OUTS (outf, "A0.x="); + OUTS (outf, "A0.X = "); OUTS (outf, dregs_lo (src0)); } else if (aop == 1 && HL == 0 && aopcde == 11) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=(A0+=A1)"); + OUTS (outf, " = (A0 += A1)"); } else if (aop == 3 && HL == 0 && aopcde == 16) - OUTS (outf, "A1= ABS A0,A0= ABS A0"); + OUTS (outf, "A1 = ABS A0, A0 = ABS A0"); else if (aop == 0 && aopcde == 23 && HL == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP3P("); + OUTS (outf, " = BYTEOP3P ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); - OUTS (outf, ")(HI"); + OUTS (outf, imm5d (src1)); + OUTS (outf, ") (HI"); if (s == 1) OUTS (outf, ", R)"); else @@ -3161,239 +3474,233 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) } else if (aop == 3 && aopcde == 9 && s == 0) { - OUTS (outf, "A1.x="); + OUTS (outf, "A1.X = "); OUTS (outf, dregs_lo (src0)); } else if (aop == 1 && HL == 1 && aopcde == 16) - OUTS (outf, "A1= ABS A1"); + OUTS (outf, "A1 = ABS A1"); else if (aop == 0 && HL == 1 && aopcde == 16) - OUTS (outf, "A1= ABS A0"); + OUTS (outf, "A1 = ABS A0"); else if (aop == 2 && aopcde == 9 && s == 1) { - OUTS (outf, "A1="); + OUTS (outf, "A1 = "); OUTS (outf, dregs (src0)); } else if (HL == 0 && aop == 3 && aopcde == 12) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "(RND)"); + OUTS (outf, " (RND)"); } else if (aop == 1 && HL == 0 && aopcde == 16) - OUTS (outf, "A0= ABS A1"); + OUTS (outf, "A0 = ABS A1"); else if (aop == 0 && HL == 0 && aopcde == 16) - OUTS (outf, "A0= ABS A0"); + OUTS (outf, "A0 = ABS A0"); else if (aop == 3 && HL == 0 && aopcde == 15) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=-"); + OUTS (outf, " = -"); OUTS (outf, dregs (src0)); - OUTS (outf, "(V)"); + OUTS (outf, " (V)"); } else if (aop == 3 && s == 1 && HL == 0 && aopcde == 7) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=-"); + OUTS (outf, " = -"); OUTS (outf, dregs (src0)); - OUTS (outf, "(S)"); + OUTS (outf, " (S)"); } else if (aop == 3 && s == 0 && HL == 0 && aopcde == 7) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=-"); + OUTS (outf, " = -"); OUTS (outf, dregs (src0)); - OUTS (outf, "(NS)"); + OUTS (outf, " (NS)"); } else if (aop == 1 && HL == 1 && aopcde == 11) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "=(A0+=A1)"); + OUTS (outf, " = (A0 += A1)"); } else if (aop == 2 && aopcde == 11 && s == 0) - OUTS (outf, "A0+=A1"); + OUTS (outf, "A0 += A1"); else if (aop == 2 && aopcde == 11 && s == 1) - OUTS (outf, "A0+=A1(W32)"); + OUTS (outf, "A0 += A1 (W32)"); else if (aop == 3 && HL == 0 && aopcde == 14) - OUTS (outf, "A1=-A1,A0=-A0"); + OUTS (outf, "A1 = -A1, A0 = -A0"); else if (HL == 1 && aop == 3 && aopcde == 12) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "(RND)"); + OUTS (outf, " (RND)"); } else if (aop == 0 && aopcde == 23 && HL == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP3P("); + OUTS (outf, " = BYTEOP3P ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); - OUTS (outf, ")(LO"); + OUTS (outf, imm5d (src1)); + OUTS (outf, ") (LO"); if (s == 1) OUTS (outf, ", R)"); else OUTS (outf, ")"); } else if (aop == 0 && HL == 0 && aopcde == 14) - OUTS (outf, "A0=-A0"); + OUTS (outf, "A0 = -A0"); else if (aop == 1 && HL == 0 && aopcde == 14) - OUTS (outf, "A0=-A1"); + OUTS (outf, "A0 = -A1"); else if (aop == 0 && HL == 1 && aopcde == 14) - OUTS (outf, "A1=-A0"); + OUTS (outf, "A1 = -A0"); else if (aop == 1 && HL == 1 && aopcde == 14) - OUTS (outf, "A1=-A1"); + OUTS (outf, "A1 = -A1"); else if (aop == 0 && aopcde == 12) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=SIGN("); + OUTS (outf, " = SIGN ("); OUTS (outf, dregs_hi (src0)); - OUTS (outf, ")*"); + OUTS (outf, ") * "); OUTS (outf, dregs_hi (src1)); - OUTS (outf, "+SIGN("); + OUTS (outf, " + SIGN ("); OUTS (outf, dregs_lo (src0)); - OUTS (outf, ")*"); + OUTS (outf, ") * "); OUTS (outf, dregs_lo (src1)); - OUTS (outf, ")"); } else if (aop == 2 && aopcde == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-|+"); + OUTS (outf, " -|+ "); OUTS (outf, dregs (src1)); - OUTS (outf, " "); amod0 (s, x, outf); } else if (aop == 1 && aopcde == 12) { OUTS (outf, dregs (dst1)); - OUTS (outf, "=A1.L+A1.H,"); + OUTS (outf, " = A1.L + A1.H, "); OUTS (outf, dregs (dst0)); - OUTS (outf, "=A0.L+A0.H"); + OUTS (outf, " = A0.L + A0.H"); } else if (aop == 2 && aopcde == 4) { OUTS (outf, dregs (dst1)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (HL == 0 && aopcde == 1) { OUTS (outf, dregs (dst1)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+|+"); + OUTS (outf, " +|+ "); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-|-"); + OUTS (outf, " -|- "); OUTS (outf, dregs (src1)); amod0amod2 (s, x, aop, outf); } else if (aop == 0 && aopcde == 11) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=(A0+=A1)"); + OUTS (outf, " = (A0 += A1)"); } else if (aop == 0 && aopcde == 10) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=A0.x"); + OUTS (outf, " = A0.X"); } else if (aop == 1 && aopcde == 10) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=A1.x"); + OUTS (outf, " = A1.X"); } else if (aop == 1 && aopcde == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+|-"); + OUTS (outf, " +|- "); OUTS (outf, dregs (src1)); - OUTS (outf, " "); amod0 (s, x, outf); } else if (aop == 3 && aopcde == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-|-"); + OUTS (outf, " -|- "); OUTS (outf, dregs (src1)); - OUTS (outf, " "); amod0 (s, x, outf); } else if (aop == 1 && aopcde == 4) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-"); + OUTS (outf, " - "); OUTS (outf, dregs (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (aop == 0 && aopcde == 17) { OUTS (outf, dregs (dst1)); - OUTS (outf, "=A1+A0,"); + OUTS (outf, " = A1 + A0, "); OUTS (outf, dregs (dst0)); - OUTS (outf, "=A1-A0 "); + OUTS (outf, " = A1 - A0"); amod1 (s, x, outf); } else if (aop == 1 && aopcde == 17) { OUTS (outf, dregs (dst1)); - OUTS (outf, "=A0+A1,"); + OUTS (outf, " = A0 + A1, "); OUTS (outf, dregs (dst0)); - OUTS (outf, "=A0-A1 "); + OUTS (outf, " = A0 - A1"); amod1 (s, x, outf); } else if (aop == 0 && aopcde == 18) { - OUTS (outf, "SAA("); + OUTS (outf, "SAA ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); - OUTS (outf, ") "); + OUTS (outf, imm5d (src1)); + OUTS (outf, ")"); aligndir (s, outf); } else if (aop == 3 && aopcde == 18) @@ -3402,29 +3709,29 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (aop == 0 && aopcde == 20) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP1P("); + OUTS (outf, " = BYTEOP1P ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); + OUTS (outf, imm5d (src1)); OUTS (outf, ")"); aligndir (s, outf); } else if (aop == 1 && aopcde == 20) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEOP1P("); + OUTS (outf, " = BYTEOP1P ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); - OUTS (outf, ")(T"); + OUTS (outf, imm5d (src1)); + OUTS (outf, ") (T"); if (s == 1) OUTS (outf, ", R)"); else @@ -3434,126 +3741,124 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) { OUTS (outf, "("); OUTS (outf, dregs (dst1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (dst0)); - OUTS (outf, ")=BYTEOP16P("); + OUTS (outf, ") = BYTEOP16P ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); - OUTS (outf, ") "); + OUTS (outf, imm5d (src1)); + OUTS (outf, ")"); aligndir (s, outf); } else if (aop == 1 && aopcde == 21) { OUTS (outf, "("); OUTS (outf, dregs (dst1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (dst0)); - OUTS (outf, ")=BYTEOP16M("); + OUTS (outf, ") = BYTEOP16M ("); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, ","); + OUTS (outf, imm5d (src0)); + OUTS (outf, ", "); OUTS (outf, dregs (src1 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src1)); - OUTS (outf, ") "); + OUTS (outf, imm5d (src1)); + OUTS (outf, ")"); aligndir (s, outf); } else if (aop == 2 && aopcde == 7) { OUTS (outf, dregs (dst0)); - OUTS (outf, "= ABS "); + OUTS (outf, " = ABS "); OUTS (outf, dregs (src0)); } else if (aop == 1 && aopcde == 7) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=MIN("); + OUTS (outf, " = MIN ("); OUTS (outf, dregs (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1)); OUTS (outf, ")"); } else if (aop == 0 && aopcde == 7) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=MAX("); + OUTS (outf, " = MAX ("); OUTS (outf, dregs (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1)); OUTS (outf, ")"); } else if (aop == 2 && aopcde == 6) { OUTS (outf, dregs (dst0)); - OUTS (outf, "= ABS "); + OUTS (outf, " = ABS "); OUTS (outf, dregs (src0)); - OUTS (outf, "(V)"); + OUTS (outf, " (V)"); } else if (aop == 1 && aopcde == 6) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=MIN("); + OUTS (outf, " = MIN ("); OUTS (outf, dregs (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1)); - OUTS (outf, ")(V)"); + OUTS (outf, ") (V)"); } else if (aop == 0 && aopcde == 6) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=MAX("); + OUTS (outf, " = MAX ("); OUTS (outf, dregs (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1)); - OUTS (outf, ")(V)"); + OUTS (outf, ") (V)"); } else if (HL == 1 && aopcde == 1) { OUTS (outf, dregs (dst1)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+|-"); + OUTS (outf, " +|- "); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "-|+"); + OUTS (outf, " -|+ "); OUTS (outf, dregs (src1)); amod0amod2 (s, x, aop, outf); } else if (aop == 0 && aopcde == 4) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+"); + OUTS (outf, " + "); OUTS (outf, dregs (src1)); - OUTS (outf, " "); amod1 (s, x, outf); } else if (aop == 0 && aopcde == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src0)); - OUTS (outf, "+|+"); + OUTS (outf, " +|+ "); OUTS (outf, dregs (src1)); - OUTS (outf, " "); amod0 (s, x, outf); } else if (aop == 0 && aopcde == 24) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=BYTEPACK("); + OUTS (outf, " = BYTEPACK ("); OUTS (outf, dregs (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1)); OUTS (outf, ")"); } @@ -3561,24 +3866,23 @@ decode_dsp32alu_0 (TIword iw0, TIword iw1, disassemble_info *outf) { OUTS (outf, "("); OUTS (outf, dregs (dst1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (dst0)); OUTS (outf, ") = BYTEUNPACK "); OUTS (outf, dregs (src0 + 1)); OUTS (outf, ":"); - OUTS (outf, imm5 (src0)); - OUTS (outf, " "); + OUTS (outf, imm5d (src0)); aligndir (s, outf); } else if (aopcde == 13) { OUTS (outf, "("); OUTS (outf, dregs (dst1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (dst0)); OUTS (outf, ") = SEARCH "); OUTS (outf, dregs (src0)); - OUTS (outf, "("); + OUTS (outf, " ("); searchmod (aop, outf); OUTS (outf, ")"); } @@ -3607,7 +3911,7 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) if (HLs == 0 && sop == 0 && sopcde == 0) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs_lo (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3615,7 +3919,7 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (HLs == 1 && sop == 0 && sopcde == 0) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs_hi (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3623,7 +3927,7 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (HLs == 2 && sop == 0 && sopcde == 0) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs_lo (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3631,7 +3935,7 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (HLs == 3 && sop == 0 && sopcde == 0) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs_hi (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3639,43 +3943,43 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (HLs == 0 && sop == 1 && sopcde == 0) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs_lo (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "(S)"); + OUTS (outf, " (S)"); } else if (HLs == 1 && sop == 1 && sopcde == 0) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs_hi (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "(S)"); + OUTS (outf, " (S)"); } else if (HLs == 2 && sop == 1 && sopcde == 0) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs_lo (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "(S)"); + OUTS (outf, " (S)"); } else if (HLs == 3 && sop == 1 && sopcde == 0) { OUTS (outf, dregs_hi (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs_hi (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "(S)"); + OUTS (outf, " (S)"); } else if (sop == 2 && sopcde == 0) { OUTS (outf, (HLs & 2) == 0 ? dregs_lo (dst0) : dregs_hi (dst0)); - OUTS (outf, "= LSHIFT "); + OUTS (outf, " = LSHIFT "); OUTS (outf, (HLs & 1) == 0 ? dregs_lo (src1) : dregs_hi (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3683,7 +3987,7 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (sop == 0 && sopcde == 3) { OUTS (outf, acc01); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, acc01); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3691,7 +3995,7 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (sop == 1 && sopcde == 3) { OUTS (outf, acc01); - OUTS (outf, "= LSHIFT "); + OUTS (outf, " = LSHIFT "); OUTS (outf, acc01); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3699,7 +4003,7 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (sop == 2 && sopcde == 3) { OUTS (outf, acc01); - OUTS (outf, "= ROT "); + OUTS (outf, " = ROT "); OUTS (outf, acc01); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3707,7 +4011,7 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (sop == 3 && sopcde == 3) { OUTS (outf, dregs (dst0)); - OUTS (outf, "= ROT "); + OUTS (outf, " = ROT "); OUTS (outf, dregs (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3715,25 +4019,25 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (sop == 1 && sopcde == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "(V,S)"); + OUTS (outf, " (V, S)"); } else if (sop == 0 && sopcde == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "(V)"); + OUTS (outf, " (V)"); } else if (sop == 0 && sopcde == 2) { OUTS (outf, dregs (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3741,16 +4045,16 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (sop == 1 && sopcde == 2) { OUTS (outf, dregs (dst0)); - OUTS (outf, "= ASHIFT "); + OUTS (outf, " = ASHIFT "); OUTS (outf, dregs (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "(S)"); + OUTS (outf, " (S)"); } else if (sop == 2 && sopcde == 2) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=SHIFT "); + OUTS (outf, " = SHIFT "); OUTS (outf, dregs (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3758,7 +4062,7 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (sop == 3 && sopcde == 2) { OUTS (outf, dregs (dst0)); - OUTS (outf, "= ROT "); + OUTS (outf, " = ROT "); OUTS (outf, dregs (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); @@ -3766,116 +4070,115 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (sop == 2 && sopcde == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=SHIFT "); + OUTS (outf, " = SHIFT "); OUTS (outf, dregs (src1)); OUTS (outf, " BY "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, "(V)"); + OUTS (outf, " (V)"); } else if (sop == 0 && sopcde == 4) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=PACK"); - OUTS (outf, "("); + OUTS (outf, " = PACK ("); OUTS (outf, dregs_lo (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs_lo (src0)); OUTS (outf, ")"); } else if (sop == 1 && sopcde == 4) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=PACK("); + OUTS (outf, " = PACK ("); OUTS (outf, dregs_lo (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs_hi (src0)); OUTS (outf, ")"); } else if (sop == 2 && sopcde == 4) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=PACK("); + OUTS (outf, " = PACK ("); OUTS (outf, dregs_hi (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs_lo (src0)); OUTS (outf, ")"); } else if (sop == 3 && sopcde == 4) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=PACK("); + OUTS (outf, " = PACK ("); OUTS (outf, dregs_hi (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs_hi (src0)); OUTS (outf, ")"); } else if (sop == 0 && sopcde == 5) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=SIGNBITS "); + OUTS (outf, " = SIGNBITS "); OUTS (outf, dregs (src1)); } else if (sop == 1 && sopcde == 5) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=SIGNBITS "); + OUTS (outf, " = SIGNBITS "); OUTS (outf, dregs_lo (src1)); } else if (sop == 2 && sopcde == 5) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=SIGNBITS "); + OUTS (outf, " = SIGNBITS "); OUTS (outf, dregs_hi (src1)); } else if (sop == 0 && sopcde == 6) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=SIGNBITS A0"); + OUTS (outf, " = SIGNBITS A0"); } else if (sop == 1 && sopcde == 6) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=SIGNBITS A1"); + OUTS (outf, " = SIGNBITS A1"); } else if (sop == 3 && sopcde == 6) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=ONES "); + OUTS (outf, " = ONES "); OUTS (outf, dregs (src1)); } else if (sop == 0 && sopcde == 7) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=EXPADJ ("); + OUTS (outf, " = EXPADJ ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs_lo (src0)); OUTS (outf, ")"); } else if (sop == 1 && sopcde == 7) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=EXPADJ ("); + OUTS (outf, " = EXPADJ ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs_lo (src0)); OUTS (outf, ") (V)"); } else if (sop == 2 && sopcde == 7) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=EXPADJ ("); + OUTS (outf, " = EXPADJ ("); OUTS (outf, dregs_lo (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs_lo (src0)); OUTS (outf, ")"); } else if (sop == 3 && sopcde == 7) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=EXPADJ ("); + OUTS (outf, " = EXPADJ ("); OUTS (outf, dregs_hi (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs_lo (src0)); OUTS (outf, ")"); } @@ -3883,132 +4186,132 @@ decode_dsp32shift_0 (TIword iw0, TIword iw1, disassemble_info *outf) { OUTS (outf, "BITMUX ("); OUTS (outf, dregs (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1)); - OUTS (outf, ",A0 )(ASR)"); + OUTS (outf, ", A0) (ASR)"); } else if (sop == 1 && sopcde == 8) { OUTS (outf, "BITMUX ("); OUTS (outf, dregs (src0)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src1)); - OUTS (outf, ",A0 )(ASL)"); + OUTS (outf, ", A0) (ASL)"); } else if (sop == 0 && sopcde == 9) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=VIT_MAX ("); + OUTS (outf, " = VIT_MAX ("); OUTS (outf, dregs (src1)); OUTS (outf, ") (ASL)"); } else if (sop == 1 && sopcde == 9) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=VIT_MAX ("); + OUTS (outf, " = VIT_MAX ("); OUTS (outf, dregs (src1)); OUTS (outf, ") (ASR)"); } else if (sop == 2 && sopcde == 9) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=VIT_MAX("); + OUTS (outf, " = VIT_MAX ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src0)); - OUTS (outf, ")(ASL)"); + OUTS (outf, ") (ASL)"); } else if (sop == 3 && sopcde == 9) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=VIT_MAX("); + OUTS (outf, " = VIT_MAX ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src0)); - OUTS (outf, ")(ASR)"); + OUTS (outf, ") (ASR)"); } else if (sop == 0 && sopcde == 10) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=EXTRACT("); + OUTS (outf, " = EXTRACT ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs_lo (src0)); OUTS (outf, ") (Z)"); } else if (sop == 1 && sopcde == 10) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=EXTRACT("); + OUTS (outf, " = EXTRACT ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs_lo (src0)); - OUTS (outf, ")(X)"); + OUTS (outf, ") (X)"); } else if (sop == 2 && sopcde == 10) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=DEPOSIT("); + OUTS (outf, " = DEPOSIT ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src0)); OUTS (outf, ")"); } else if (sop == 3 && sopcde == 10) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=DEPOSIT("); + OUTS (outf, " = DEPOSIT ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src0)); - OUTS (outf, ")(X)"); + OUTS (outf, ") (X)"); } else if (sop == 0 && sopcde == 11) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=CC=BXORSHIFT(A0,"); + OUTS (outf, " = CC = BXORSHIFT (A0, "); OUTS (outf, dregs (src0)); OUTS (outf, ")"); } else if (sop == 1 && sopcde == 11) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=CC=BXOR(A0,"); + OUTS (outf, " = CC = BXOR (A0, "); OUTS (outf, dregs (src0)); OUTS (outf, ")"); } else if (sop == 0 && sopcde == 12) - OUTS (outf, "A0=BXORSHIFT(A0,A1 ,CC)"); + OUTS (outf, "A0 = BXORSHIFT (A0, A1, CC)"); else if (sop == 1 && sopcde == 12) { OUTS (outf, dregs_lo (dst0)); - OUTS (outf, "=CC=BXOR( A0,A1 ,CC )"); + OUTS (outf, " = CC = BXOR (A0, A1, CC)"); } else if (sop == 0 && sopcde == 13) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=ALIGN8("); + OUTS (outf, " = ALIGN8 ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src0)); OUTS (outf, ")"); } else if (sop == 1 && sopcde == 13) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=ALIGN16("); + OUTS (outf, " = ALIGN16 ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src0)); OUTS (outf, ")"); } else if (sop == 2 && sopcde == 13) { OUTS (outf, dregs (dst0)); - OUTS (outf, "=ALIGN24("); + OUTS (outf, " = ALIGN24 ("); OUTS (outf, dregs (src1)); - OUTS (outf, ","); + OUTS (outf, ", "); OUTS (outf, dregs (src0)); OUTS (outf, ")"); } @@ -4080,66 +4383,66 @@ decode_dsp32shiftimm_0 (TIword iw0, TIword iw1, disassemble_info *outf) } else if (sop == 2 && sopcde == 3 && HLs == 1) { - OUTS (outf, "A1= ROT A1 BY "); + OUTS (outf, "A1 = ROT A1 BY "); OUTS (outf, imm6 (immag)); } else if (sop == 0 && sopcde == 3 && HLs == 0 && bit8 == 0) { - OUTS (outf, "A0=A0<<"); + OUTS (outf, "A0 = A0 << "); OUTS (outf, uimm5 (immag)); } else if (sop == 0 && sopcde == 3 && HLs == 0 && bit8 == 1) { - OUTS (outf, "A0=A0>>>"); + OUTS (outf, "A0 = A0 >>> "); OUTS (outf, uimm5 (newimmag)); } else if (sop == 0 && sopcde == 3 && HLs == 1 && bit8 == 0) { - OUTS (outf, "A1=A1<<"); + OUTS (outf, "A1 = A1 << "); OUTS (outf, uimm5 (immag)); } else if (sop == 0 && sopcde == 3 && HLs == 1 && bit8 == 1) { - OUTS (outf, "A1=A1>>>"); + OUTS (outf, "A1 = A1 >>> "); OUTS (outf, uimm5 (newimmag)); } else if (sop == 1 && sopcde == 3 && HLs == 0) { - OUTS (outf, "A0=A0>>"); + OUTS (outf, "A0 = A0 >> "); OUTS (outf, uimm5 (newimmag)); } else if (sop == 1 && sopcde == 3 && HLs == 1) { - OUTS (outf, "A1=A1>>"); + OUTS (outf, "A1 = A1 >> "); OUTS (outf, uimm5 (newimmag)); } else if (sop == 2 && sopcde == 3 && HLs == 0) { - OUTS (outf, "A0= ROT A0 BY "); + OUTS (outf, "A0 = ROT A0 BY "); OUTS (outf, imm6 (immag)); } else if (sop == 1 && sopcde == 1 && bit8 == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src1)); - OUTS (outf, "<<"); + OUTS (outf, " << "); OUTS (outf, uimm5 (immag)); OUTS (outf, " (V, S)"); } else if (sop == 1 && sopcde == 1 && bit8 == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src1)); - OUTS (outf, ">>>"); + OUTS (outf, " >>> "); OUTS (outf, imm5 (-immag)); OUTS (outf, " (V)"); } else if (sop == 2 && sopcde == 1 && bit8 == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src1)); OUTS (outf, " >> "); OUTS (outf, uimm5 (newimmag)); @@ -4148,50 +4451,50 @@ decode_dsp32shiftimm_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (sop == 2 && sopcde == 1 && bit8 == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src1)); - OUTS (outf, "<<"); + OUTS (outf, " << "); OUTS (outf, imm5 (immag)); OUTS (outf, " (V)"); } else if (sop == 0 && sopcde == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src1)); - OUTS (outf, ">>>"); + OUTS (outf, " >>> "); OUTS (outf, uimm5 (newimmag)); OUTS (outf, " (V)"); } else if (sop == 1 && sopcde == 2) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src1)); - OUTS (outf, "<<"); + OUTS (outf, " << "); OUTS (outf, uimm5 (immag)); - OUTS (outf, "(S)"); + OUTS (outf, " (S)"); } else if (sop == 2 && sopcde == 2 && bit8 == 1) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src1)); - OUTS (outf, ">>"); + OUTS (outf, " >> "); OUTS (outf, uimm5 (newimmag)); } else if (sop == 2 && sopcde == 2 && bit8 == 0) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src1)); - OUTS (outf, "<<"); + OUTS (outf, " << "); OUTS (outf, uimm5 (immag)); } else if (sop == 3 && sopcde == 2) { OUTS (outf, dregs (dst0)); - OUTS (outf, "= ROT "); + OUTS (outf, " = ROT "); OUTS (outf, dregs (src1)); OUTS (outf, " BY "); OUTS (outf, imm6 (immag)); @@ -4199,9 +4502,9 @@ decode_dsp32shiftimm_0 (TIword iw0, TIword iw1, disassemble_info *outf) else if (sop == 0 && sopcde == 2) { OUTS (outf, dregs (dst0)); - OUTS (outf, "="); + OUTS (outf, " = "); OUTS (outf, dregs (src1)); - OUTS (outf, ">>>"); + OUTS (outf, " >>> "); OUTS (outf, uimm5 (newimmag)); } else @@ -4238,7 +4541,7 @@ decode_pseudoDEBUG_0 (TIword iw0, disassemble_info *outf) else if (reg == 6 && fn == 3) { - OUTS (outf, "DBGCMPLX("); + OUTS (outf, "DBGCMPLX ("); OUTS (outf, dregs (grp)); OUTS (outf, ")"); } @@ -4271,42 +4574,43 @@ decode_pseudodbg_assert_0 (TIword iw0, TIword iw1, disassemble_info *outf) { /* pseudodbg_assert +---+---+---+---|---+---+---+---|---+---+---+---|---+---+---+---+ - | 1 | 1 | 1 | 1 | 0 | - | - | - | - | - |.dbgop.....|.regtest...| + | 1 | 1 | 1 | 1 | 0 | - | - | - | dbgop |.grp.......|.regtest...| |.expected......................................................| +---+---+---+---|---+---+---+---|---+---+---+---|---+---+---+---+ */ int expected = ((iw1 >> PseudoDbg_Assert_expected_bits) & PseudoDbg_Assert_expected_mask); int dbgop = ((iw0 >> (PseudoDbg_Assert_dbgop_bits - 16)) & PseudoDbg_Assert_dbgop_mask); + int grp = ((iw0 >> (PseudoDbg_Assert_grp_bits - 16)) & PseudoDbg_Assert_grp_mask); int regtest = ((iw0 >> (PseudoDbg_Assert_regtest_bits - 16)) & PseudoDbg_Assert_regtest_mask); if (dbgop == 0) { - OUTS (outf, "DBGA("); - OUTS (outf, dregs_lo (regtest)); - OUTS (outf, ","); + OUTS (outf, "DBGA ("); + OUTS (outf, regs_lo (regtest, grp)); + OUTS (outf, ", "); OUTS (outf, uimm16 (expected)); OUTS (outf, ")"); } else if (dbgop == 1) { - OUTS (outf, "DBGA("); - OUTS (outf, dregs_hi (regtest)); - OUTS (outf, ","); + OUTS (outf, "DBGA ("); + OUTS (outf, regs_hi (regtest, grp)); + OUTS (outf, ", "); OUTS (outf, uimm16 (expected)); OUTS (outf, ")"); } else if (dbgop == 2) { - OUTS (outf, "DBGAL("); - OUTS (outf, dregs (regtest)); - OUTS (outf, ","); + OUTS (outf, "DBGAL ("); + OUTS (outf, allregs (regtest, grp)); + OUTS (outf, ", "); OUTS (outf, uimm16 (expected)); OUTS (outf, ")"); } else if (dbgop == 3) { - OUTS (outf, "DBGAH("); - OUTS (outf, dregs (regtest)); - OUTS (outf, ","); + OUTS (outf, "DBGAH ("); + OUTS (outf, allregs (regtest, grp)); + OUTS (outf, ", "); OUTS (outf, uimm16 (expected)); OUTS (outf, ")"); } @@ -4315,7 +4619,7 @@ decode_pseudodbg_assert_0 (TIword iw0, TIword iw1, disassemble_info *outf) return 4; } -int +static int _print_insn_bfin (bfd_vma pc, disassemble_info *outf) { bfd_byte buf[4]; @@ -4332,7 +4636,7 @@ _print_insn_bfin (bfd_vma pc, disassemble_info *outf) if ((iw0 & 0xf7ff) == 0xc003 && iw1 == 0x1800) { - OUTS (outf, "mnop"); + OUTS (outf, "MNOP"); return 4; } else if ((iw0 & 0xff00) == 0x0000) @@ -4409,7 +4713,7 @@ _print_insn_bfin (bfd_vma pc, disassemble_info *outf) else if ((iw0 & 0xFF00) == 0xF900) rv = decode_pseudoOChar_0 (iw0, iw1, pc, outf); #endif - else if ((iw0 & 0xFFC0) == 0xf000 && (iw1 & 0x0000) == 0x0000) + else if ((iw0 & 0xFF00) == 0xf000 && (iw1 & 0x0000) == 0x0000) rv = decode_pseudodbg_assert_0 (iw0, iw1, outf); return rv; @@ -4434,16 +4738,22 @@ print_insn_bfin (bfd_vma pc, disassemble_info *outf) if ((iw0 & 0xc000) == 0xc000 && (iw0 & BIT_MULTI_INS) && ((iw0 & 0xe800) != 0xe800 /* Not Linkage. */ )) { + parallel = 1; outf->fprintf_func (outf->stream, " || "); count += _print_insn_bfin (pc + 4, outf); outf->fprintf_func (outf->stream, " || "); count += _print_insn_bfin (pc + 6, outf); + parallel = 0; } if (count == 0) { outf->fprintf_func (outf->stream, "ILLEGAL"); return 2; } - outf->fprintf_func (outf->stream, ";"); + if (!comment) + outf->fprintf_func (outf->stream, ";"); + + comment = 0; + return count; }