]> oss.titaniummirror.com Git - msp430-binutils.git/blobdiff - gas/testsuite/gas/bfin/shift2.d
Imported binutils-2.20
[msp430-binutils.git] / gas / testsuite / gas / bfin / shift2.d
index 75416b14268c541dbfae593b73353dafad2727f8..f8c76f5607953445bdf5a3205de2accbd6972f0b 100644 (file)
@@ -5,54 +5,54 @@
 Disassembly of section .text:
 
 00000000 <.text>:
-   0:  80 45           P0=\(P0\+P0\)<<1;
-   2:  88 45           P0=\(P0\+P1\)<<1;
-   4:  82 45           P2=\(P2\+P0\)<<1;
-   6:  91 45           P1=\(P1\+P2\)<<1;
-   8:  c0 45           P0=\(P0\+P0\)<<2;
-   a:  c8 45           P0=\(P0\+P1\)<<2;
-   c:  c2 45           P2=\(P2\+P0\)<<2;
-   e:  d1 45           P1=\(P1\+P2\)<<2;
-  10:  00 41           R0=\(R0\+R0\)<<1;
-  12:  08 41           R0=\(R0\+R1\)<<1;
-  14:  02 41           R2=\(R2\+R0\)<<1;
-  16:  11 41           R1=\(R1\+R2\)<<1;
-  18:  40 41           R0=\(R0\+R0\)<<2;
-  1a:  48 41           R0=\(R0\+R1\)<<2;
-  1c:  42 41           R2=\(R2\+R0\)<<2;
-  1e:  51 41           R1=\(R1\+R2\)<<2;
-  20:  00 5c           P0=P0\+\(P0<<1\);
-  22:  08 5c           P0=P0\+\(P1<<1\);
-  24:  10 5c           P0=P0\+\(P2<<1\);
-  26:  11 5c           P0=P1\+\(P2<<1\);
-  28:  1a 5c           P0=P2\+\(P3<<1\);
-  2a:  40 5c           P1=P0\+\(P0<<1\);
-  2c:  48 5c           P1=P0\+\(P1<<1\);
-  2e:  50 5c           P1=P0\+\(P2<<1\);
-  30:  51 5c           P1=P1\+\(P2<<1\);
-  32:  5a 5c           P1=P2\+\(P3<<1\);
-  34:  00 5e           P0=P0\+\(P0<<2\);
-  36:  08 5e           P0=P0\+\(P1<<2\);
-  38:  10 5e           P0=P0\+\(P2<<2\);
-  3a:  11 5e           P0=P1\+\(P2<<2\);
-  3c:  1a 5e           P0=P2\+\(P3<<2\);
-  3e:  40 5e           P1=P0\+\(P0<<2\);
-  40:  48 5e           P1=P0\+\(P1<<2\);
-  42:  50 5e           P1=P0\+\(P2<<2\);
-  44:  51 5e           P1=P1\+\(P2<<2\);
-  46:  5a 5e           P1=P2\+\(P3<<2\);
-  48:  00 4d           R0>>>=0x0;
-  4a:  f8 4d           R0>>>=0x1f;
-  4c:  28 4d           R0>>>=0x5;
-  4e:  05 4d           R5>>>=0x0;
-  50:  fd 4d           R5>>>=0x1f;
-  52:  2d 4d           R5>>>=0x5;
-  54:  00 4f           R0<<=0x0;
-  56:  f8 4f           R0<<=0x1f;
-  58:  28 4f           R0<<=0x5;
-  5a:  05 4f           R5<<=0x0;
-  5c:  fd 4f           R5<<=0x1f;
-  5e:  2d 4f           R5<<=0x5;
+   0:  80 45           P0 = \(P0 \+ P0\) << 0x1;
+   2:  88 45           P0 = \(P0 \+ P1\) << 0x1;
+   4:  82 45           P2 = \(P2 \+ P0\) << 0x1;
+   6:  91 45           P1 = \(P1 \+ P2\) << 0x1;
+   8:  c0 45           P0 = \(P0 \+ P0\) << 0x2;
+   a:  c8 45           P0 = \(P0 \+ P1\) << 0x2;
+   c:  c2 45           P2 = \(P2 \+ P0\) << 0x2;
+   e:  d1 45           P1 = \(P1 \+ P2\) << 0x2;
+  10:  00 41           R0 = \(R0 \+ R0\) << 0x1;
+  12:  08 41           R0 = \(R0 \+ R1\) << 0x1;
+  14:  02 41           R2 = \(R2 \+ R0\) << 0x1;
+  16:  11 41           R1 = \(R1 \+ R2\) << 0x1;
+  18:  40 41           R0 = \(R0 \+ R0\) << 0x2;
+  1a:  48 41           R0 = \(R0 \+ R1\) << 0x2;
+  1c:  42 41           R2 = \(R2 \+ R0\) << 0x2;
+  1e:  51 41           R1 = \(R1 \+ R2\) << 0x2;
+  20:  00 5c           P0 = P0 \+ \(P0 << 0x1\);
+  22:  08 5c           P0 = P0 \+ \(P1 << 0x1\);
+  24:  10 5c           P0 = P0 \+ \(P2 << 0x1\);
+  26:  11 5c           P0 = P1 \+ \(P2 << 0x1\);
+  28:  1a 5c           P0 = P2 \+ \(P3 << 0x1\);
+  2a:  40 5c           P1 = P0 \+ \(P0 << 0x1\);
+  2c:  48 5c           P1 = P0 \+ \(P1 << 0x1\);
+  2e:  50 5c           P1 = P0 \+ \(P2 << 0x1\);
+  30:  51 5c           P1 = P1 \+ \(P2 << 0x1\);
+  32:  5a 5c           P1 = P2 \+ \(P3 << 0x1\);
+  34:  00 5e           P0 = P0 \+ \(P0 << 0x2\);
+  36:  08 5e           P0 = P0 \+ \(P1 << 0x2\);
+  38:  10 5e           P0 = P0 \+ \(P2 << 0x2\);
+  3a:  11 5e           P0 = P1 \+ \(P2 << 0x2\);
+  3c:  1a 5e           P0 = P2 \+ \(P3 << 0x2\);
+  3e:  40 5e           P1 = P0 \+ \(P0 << 0x2\);
+  40:  48 5e           P1 = P0 \+ \(P1 << 0x2\);
+  42:  50 5e           P1 = P0 \+ \(P2 << 0x2\);
+  44:  51 5e           P1 = P1 \+ \(P2 << 0x2\);
+  46:  5a 5e           P1 = P2 \+ \(P3 << 0x2\);
+  48:  00 4d           R0 >>>= 0x0;
+  4a:  f8 4d           R0 >>>= 0x1f;
+  4c:  28 4d           R0 >>>= 0x5;
+  4e:  05 4d           R5 >>>= 0x0;
+  50:  fd 4d           R5 >>>= 0x1f;
+  52:  2d 4d           R5 >>>= 0x5;
+  54:  00 4f           R0 <<= 0x0;
+  56:  f8 4f           R0 <<= 0x1f;
+  58:  28 4f           R0 <<= 0x5;
+  5a:  05 4f           R5 <<= 0x0;
+  5c:  fd 4f           R5 <<= 0x1f;
+  5e:  2d 4f           R5 <<= 0x5;
   60:  80 c6 00 00     R0.L = R0.L >>> 0x0;
   64:  80 c6 88 01     R0.L = R0.L >>> 0xf;
   68:  80 c6 00 10     R0.L = R0.H >>> 0x0;
@@ -101,96 +101,96 @@ Disassembly of section .text:
  114:  80 c6 7a 6a     R5.H = R2.L << 0xf \(S\);
  118:  80 c6 01 7c     R6.H = R1.H << 0x0 \(S\);
  11c:  80 c6 78 7e     R7.H = R0.H << 0xf \(S\);
- 120:  82 c6 00 00     R0=R0>>>0x0;
- 124:  82 c6 08 01     R0=R0>>>0x1f;
- 128:  82 c6 01 00     R0=R1>>>0x0;
- 12c:  82 c6 09 01     R0=R1>>>0x1f;
- 130:  82 c6 00 0e     R7=R0>>>0x0;
- 134:  82 c6 09 0d     R6=R1>>>0x1f;
- 138:  82 c6 02 0a     R5=R2>>>0x0;
- 13c:  82 c6 0b 09     R4=R3>>>0x1f;
- 140:  82 c6 04 06     R3=R4>>>0x0;
- 144:  82 c6 0d 05     R2=R5>>>0x1f;
- 148:  82 c6 06 02     R1=R6>>>0x0;
- 14c:  82 c6 0f 01     R0=R7>>>0x1f;
- 150:  82 c6 00 40     R0=R0<<0x0\(S\);
- 154:  82 c6 f8 40     R0=R0<<0x1f\(S\);
- 158:  82 c6 01 40     R0=R1<<0x0\(S\);
- 15c:  82 c6 f9 40     R0=R1<<0x1f\(S\);
- 160:  82 c6 00 4e     R7=R0<<0x0\(S\);
- 164:  82 c6 f9 4c     R6=R1<<0x1f\(S\);
- 168:  82 c6 02 4a     R5=R2<<0x0\(S\);
- 16c:  82 c6 fb 48     R4=R3<<0x1f\(S\);
- 170:  82 c6 04 46     R3=R4<<0x0\(S\);
- 174:  82 c6 fd 44     R2=R5<<0x1f\(S\);
- 178:  82 c6 06 42     R1=R6<<0x0\(S\);
- 17c:  82 c6 ff 40     R0=R7<<0x1f\(S\);
- 180:  83 c6 00 00     A0=A0<<0x0;
- 184:  83 c6 88 01     A0=A0>>>0xf;
- 188:  83 c6 08 01     A0=A0>>>0x1f;
- 18c:  83 c6 00 00     A0=A0<<0x0;
- 190:  83 c6 78 00     A0=A0<<0xf;
- 194:  83 c6 f8 00     A0=A0<<0x1f;
- 198:  83 c6 00 10     A1=A1<<0x0;
- 19c:  83 c6 88 11     A1=A1>>>0xf;
- 1a0:  83 c6 08 11     A1=A1>>>0x1f;
- 1a4:  83 c6 00 10     A1=A1<<0x0;
- 1a8:  83 c6 78 10     A1=A1<<0xf;
- 1ac:  83 c6 f8 10     A1=A1<<0x1f;
- 1b0:  00 40           R0>>>=R0;
- 1b2:  08 40           R0>>>=R1;
- 1b4:  01 40           R1>>>=R0;
- 1b6:  39 40           R1>>>=R7;
- 1b8:  80 40           R0<<=R0;
- 1ba:  88 40           R0<<=R1;
- 1bc:  81 40           R1<<=R0;
- 1be:  b9 40           R1<<=R7;
- 1c0:  00 c6 38 16     R3.L= ASHIFT R0.H BY R7.L;
- 1c4:  00 c6 38 26     R3.H= ASHIFT R0.L BY R7.L;
- 1c8:  00 c6 38 36     R3.H= ASHIFT R0.H BY R7.L;
- 1cc:  00 c6 38 06     R3.L= ASHIFT R0.L BY R7.L;
- 1d0:  00 c6 38 56     R3.L= ASHIFT R0.H BY R7.L\(S\);
- 1d4:  00 c6 38 66     R3.H= ASHIFT R0.L BY R7.L\(S\);
- 1d8:  00 c6 38 76     R3.H= ASHIFT R0.H BY R7.L\(S\);
- 1dc:  00 c6 38 46     R3.L= ASHIFT R0.L BY R7.L\(S\);
- 1e0:  02 c6 3a 08     R4= ASHIFT R2 BY R7.L;
- 1e4:  02 c6 3a 48     R4= ASHIFT R2 BY R7.L\(S\);
- 1e8:  03 c6 38 00     A0= ASHIFT A0 BY R7.L;
- 1ec:  03 c6 38 10     A1= ASHIFT A1 BY R7.L;
- 1f0:  13 45           P3=P2>>1;
- 1f2:  db 44           P3=P3>>2;
- 1f4:  2d 5b           P4=P5<<1;
- 1f6:  48 44           P0=P1<<2;
- 1f8:  8b 4e           R3>>=0x11;
- 1fa:  8b 4f           R3<<=0x11;
+ 120:  82 c6 00 00     R0 = R0 >>> 0x0;
+ 124:  82 c6 08 01     R0 = R0 >>> 0x1f;
+ 128:  82 c6 01 00     R0 = R1 >>> 0x0;
+ 12c:  82 c6 09 01     R0 = R1 >>> 0x1f;
+ 130:  82 c6 00 0e     R7 = R0 >>> 0x0;
+ 134:  82 c6 09 0d     R6 = R1 >>> 0x1f;
+ 138:  82 c6 02 0a     R5 = R2 >>> 0x0;
+ 13c:  82 c6 0b 09     R4 = R3 >>> 0x1f;
+ 140:  82 c6 04 06     R3 = R4 >>> 0x0;
+ 144:  82 c6 0d 05     R2 = R5 >>> 0x1f;
+ 148:  82 c6 06 02     R1 = R6 >>> 0x0;
+ 14c:  82 c6 0f 01     R0 = R7 >>> 0x1f;
+ 150:  82 c6 00 40     R0 = R0 << 0x0 \(S\);
+ 154:  82 c6 f8 40     R0 = R0 << 0x1f \(S\);
+ 158:  82 c6 01 40     R0 = R1 << 0x0 \(S\);
+ 15c:  82 c6 f9 40     R0 = R1 << 0x1f \(S\);
+ 160:  82 c6 00 4e     R7 = R0 << 0x0 \(S\);
+ 164:  82 c6 f9 4c     R6 = R1 << 0x1f \(S\);
+ 168:  82 c6 02 4a     R5 = R2 << 0x0 \(S\);
+ 16c:  82 c6 fb 48     R4 = R3 << 0x1f \(S\);
+ 170:  82 c6 04 46     R3 = R4 << 0x0 \(S\);
+ 174:  82 c6 fd 44     R2 = R5 << 0x1f \(S\);
+ 178:  82 c6 06 42     R1 = R6 << 0x0 \(S\);
+ 17c:  82 c6 ff 40     R0 = R7 << 0x1f \(S\);
+ 180:  83 c6 00 00     A0 = A0 << 0x0;
+ 184:  83 c6 88 01     A0 = A0 >>> 0xf;
+ 188:  83 c6 08 01     A0 = A0 >>> 0x1f;
+ 18c:  83 c6 00 00     A0 = A0 << 0x0;
+ 190:  83 c6 78 00     A0 = A0 << 0xf;
+ 194:  83 c6 f8 00     A0 = A0 << 0x1f;
+ 198:  83 c6 00 10     A1 = A1 << 0x0;
+ 19c:  83 c6 88 11     A1 = A1 >>> 0xf;
+ 1a0:  83 c6 08 11     A1 = A1 >>> 0x1f;
+ 1a4:  83 c6 00 10     A1 = A1 << 0x0;
+ 1a8:  83 c6 78 10     A1 = A1 << 0xf;
+ 1ac:  83 c6 f8 10     A1 = A1 << 0x1f;
+ 1b0:  00 40           R0 >>>= R0;
+ 1b2:  08 40           R0 >>>= R1;
+ 1b4:  01 40           R1 >>>= R0;
+ 1b6:  39 40           R1 >>>= R7;
+ 1b8:  80 40           R0 <<= R0;
+ 1ba:  88 40           R0 <<= R1;
+ 1bc:  81 40           R1 <<= R0;
+ 1be:  b9 40           R1 <<= R7;
+ 1c0:  00 c6 38 16     R3.L = ASHIFT R0.H BY R7.L;
+ 1c4:  00 c6 38 26     R3.H = ASHIFT R0.L BY R7.L;
+ 1c8:  00 c6 38 36     R3.H = ASHIFT R0.H BY R7.L;
+ 1cc:  00 c6 38 06     R3.L = ASHIFT R0.L BY R7.L;
+ 1d0:  00 c6 38 56     R3.L = ASHIFT R0.H BY R7.L \(S\);
+ 1d4:  00 c6 38 66     R3.H = ASHIFT R0.L BY R7.L \(S\);
+ 1d8:  00 c6 38 76     R3.H = ASHIFT R0.H BY R7.L \(S\);
+ 1dc:  00 c6 38 46     R3.L = ASHIFT R0.L BY R7.L \(S\);
+ 1e0:  02 c6 3a 08     R4 = ASHIFT R2 BY R7.L;
+ 1e4:  02 c6 3a 48     R4 = ASHIFT R2 BY R7.L \(S\);
+ 1e8:  03 c6 38 00     A0 = ASHIFT A0 BY R7.L;
+ 1ec:  03 c6 38 10     A1 = ASHIFT A1 BY R7.L;
+ 1f0:  13 45           P3 = P2 >> 0x1;
+ 1f2:  db 44           P3 = P3 >> 0x2;
+ 1f4:  2d 5b           P4 = P5 << 0x1;
+ 1f6:  48 44           P0 = P1 << 0x2;
+ 1f8:  8b 4e           R3 >>= 0x11;
+ 1fa:  8b 4f           R3 <<= 0x11;
  1fc:  80 c6 e0 87     R3.L = R0.L >> 0x4;
  200:  80 c6 e0 97     R3.L = R0.H >> 0x4;
  204:  80 c6 60 a6     R3.H = R0.L << 0xc;
  208:  80 c6 70 b6     R3.H = R0.H << 0xe;
- 20c:  82 c6 e6 87     R3=R6>>0x4;
- 210:  82 c6 26 86     R3=R6<<0x4;
- 214:  83 c6 c8 41     A0=A0>>0x7;
- 218:  83 c6 38 51     A1=A1>>0x19;
- 21c:  83 c6 38 00     A0=A0<<0x7;
- 220:  83 c6 70 10     A1=A1<<0xe;
- 224:  43 40           R3>>=R0;
- 226:  8b 40           R3<<=R1;
- 228:  00 c6 10 86     R3.L= LSHIFT R0.L BY R2.L;
- 22c:  00 c6 10 a6     R3.H= LSHIFT R0.L BY R2.L;
- 230:  03 c6 38 40     A0= LSHIFT A0 BY R7.L;
- 234:  03 c6 38 50     A1= LSHIFT A1 BY R7.L;
- 238:  82 c6 f9 c8     R4= ROT R1 BY 0x1f;
- 23c:  82 c6 01 c9     R4= ROT R1 BY -32;
- 240:  82 c6 29 c8     R4= ROT R1 BY 0x5;
- 244:  83 c6 b0 80     A0= ROT A0 BY 0x16;
- 248:  83 c6 00 81     A0= ROT A0 BY -32;
- 24c:  83 c6 f8 80     A0= ROT A0 BY 0x1f;
- 250:  83 c6 00 91     A1= ROT A1 BY -32;
- 254:  83 c6 f8 90     A1= ROT A1 BY 0x1f;
- 258:  83 c6 b0 90     A1= ROT A1 BY 0x16;
- 25c:  02 c6 11 c8     R4= ROT R1 BY R2.L;
- 260:  03 c6 18 80     A0= ROT A0 BY R3.L;
- 264:  03 c6 38 90     A1= ROT A1 BY R7.L;
+ 20c:  82 c6 e6 87     R3 = R6 >> 0x4;
+ 210:  82 c6 26 86     R3 = R6 << 0x4;
+ 214:  83 c6 c8 41     A0 = A0 >> 0x7;
+ 218:  83 c6 38 51     A1 = A1 >> 0x19;
+ 21c:  83 c6 38 00     A0 = A0 << 0x7;
+ 220:  83 c6 70 10     A1 = A1 << 0xe;
+ 224:  43 40           R3 >>= R0;
+ 226:  8b 40           R3 <<= R1;
+ 228:  00 c6 10 86     R3.L = LSHIFT R0.L BY R2.L;
+ 22c:  00 c6 10 a6     R3.H = LSHIFT R0.L BY R2.L;
+ 230:  03 c6 38 40     A0 = LSHIFT A0 BY R7.L;
+ 234:  03 c6 38 50     A1 = LSHIFT A1 BY R7.L;
+ 238:  82 c6 f9 c8     R4 = ROT R1 BY 0x1f;
+ 23c:  82 c6 01 c9     R4 = ROT R1 BY -0x20;
+ 240:  82 c6 29 c8     R4 = ROT R1 BY 0x5;
+ 244:  83 c6 b0 80     A0 = ROT A0 BY 0x16;
+ 248:  83 c6 00 81     A0 = ROT A0 BY -0x20;
+ 24c:  83 c6 f8 80     A0 = ROT A0 BY 0x1f;
+ 250:  83 c6 00 91     A1 = ROT A1 BY -0x20;
+ 254:  83 c6 f8 90     A1 = ROT A1 BY 0x1f;
+ 258:  83 c6 b0 90     A1 = ROT A1 BY 0x16;
+ 25c:  02 c6 11 c8     R4 = ROT R1 BY R2.L;
+ 260:  03 c6 18 80     A0 = ROT A0 BY R3.L;
+ 264:  03 c6 38 90     A1 = ROT A1 BY R7.L;
  268:  80 c6 01 80     R0.L = R1.L << 0x0;
  26c:  80 c6 09 80     R0.L = R1.L << 0x1;
  270:  80 c6 11 80     R0.L = R1.L << 0x2;